CorePCI Eval Board Actel, CorePCI Eval Board Datasheet

no-image

CorePCI Eval Board

Manufacturer Part Number
CorePCI Eval Board
Description
MCU, MPU & DSP Development Tools CorePCI v5.41
Manufacturer
Actel
Datasheet

Specifications of CorePCI Eval Board

Processor To Be Evaluated
SX-A device
Interface Type
RS-232
CorePCI v5.41
Product Summary
Intended Use
Key Features
Data Transfer Rates
Supported Families
Design Source Provided
© 2004 Actel Corporation
October 2004
• Most Flexible High-Performance PCI Offering
• Backend Support for Synchronous DRAM, SRAM,
• Two User-Configurable Base Address Registers for
• Interrupt Capability
• Built-in DMA Controller in all Master Functions
• Flexible Backend Data Flow Control
• Hot-Swap Extended Capabilities Support for
• Fully Compliant Zero-Wait-State Burst (32-Bit or
• Optional Paced Burst (Wait States Inserted
• ProASIC3/E
• ProASIC
• Axcelerator
• RTAX-S
• SX
• SX-A
• RTSX-S
• VHDL and Verilog-HDL Design Source
• Actel-Developed Testbench
– Target, Master, and Master/Target, which
– 33 MHz or 66 MHz Performance
– 32-Bit or 64-Bit PCI Bus Widths
– Memory, I/O, and Configuration Support
and I/O Subsystems
Target Functions
Compact PCI
64-Bit Transfer Each Cycle)
Between Transfers)
includes
functions
1
PLUS 1
Target+DMA
and
Target+Master
v 4 .0
Synthesis and Simulation Support
Macro Verification and Compliance
Version
This datasheet defines the functionality of Version 5.41
for CorePCI.
Contents
General Description ................................................... 2
CorePCI Device Requirements ................................... 3
Utilization Statistics ................................................... 5
CorePCI IP Functional Block Diagram ....................... 6
Data Transactions ....................................................... 6
I/O Signal Descriptions ............................................... 6
CorePCI Target Function .......................................... 12
CorePCI Master Function ......................................... 17
Master Register Access ............................................. 19
System Timing .......................................................... 22
PCI Target Transactions ............................................ 22
PCI Master Transactions ........................................... 35
Backend Control of DMA Activity ........................... 38
Ordering Information .............................................. 40
List of Changes ......................................................... 41
Datasheet Categories ............................................... 41
• Synthesis: Exemplar
• Simulation: Vital-Compliant VHDL Simulators and
• Actel-Developed Testbench
• Hardware Tested
• I/O Drive Compliant in Targeted Devices
• Compliant with the PCI 2.3 Specification
and Synplicity
OVI- Compliant Verilog Simulators
®
TM
, Synopsys
®
DC / FPGA Compiler
TM
1
,

Related parts for CorePCI Eval Board

CorePCI Eval Board Summary of contents

Page 1

... SX-A 1 • RTSX-S Design Source Provided • VHDL and Verilog-HDL Design Source • Actel-Developed Testbench October 2004 © 2004 Actel Corporation Synthesis and Simulation Support • Synthesis: Exemplar and Synplicity • Simulation: Vital-Compliant VHDL Simulators and OVI- Compliant Verilog Simulators and ...

Page 2

... Target controller through a generic interface. The wrapper combines the Target and Master blocks with the backend for implementation in a single Actel device. CorePCI can be customized in two different ways. First, a variety of variables are provided to easily change parameters such as memory and I/O sizes. The second method is to develop user-specific backend controllers for non-standard peripherals ...

Page 3

CorePCI Device Requirements Performance requirements and bus size both drive device selection. Table 1 summarizes the device requirements. A typical 64-bit PCI system requires at least 200 I/Os. on page 5 shows typical pin counts. The actual number of I/O ...

Page 4

CorePCI v5.41 Table 2 • Device Utilization for CorePCI Functions Target Device 32-Bit A54SX16A 54% A54SX16P 54% A54SX32A 27% A54SX72A 13% RT54SX32S 27% RT54SX72S 13% AX125 39% AX250 19% AX500 10% AX1000 4% AX2000 2% RTAX250S 19% RTAX1000S 4% RTAX2000S ...

Page 5

Utilization Statistics Utilization statistics are given in Table 3 gives a detailed breakdown of the actual gate counts for each of the core variations and options listed in Table 3. The antifuse column indicates the typical R and C module ...

Page 6

CorePCI v5.41 CorePCI IP Functional Block Diagram CorePCI consists of six major functional blocks, shown in Figure 2 on page 7. These blocks are the DMA state machine, the address phase dataphase state machine, the datapath, parity, and the configuration ...

Page 7

PCI Bus FRAMEn IRDYn STOPn DEVSELn TRDYn SERRn IDSEL AD PAR CBE PERRn INTAn REQn GNTn DMA Controller CLK RSTn For a complete list of signal descriptions, refer to Figure 2 • CorePCI Block Diagram Dataphase Address Phase State Machine ...

Page 8

CorePCI v5.41 Table 5 • CorePCI Interface Signals * Name Type Description CLK Input 33 MHz or 66 MHz clock input for the PCI core RSTn Input Active LOW asynchronous reset AD Bidirectional Multiplexed 32-bit or 64-bit address and data ...

Page 9

Table 6 • CorePCI Backend Interface Signal 1,2 Name Type CLK_OUT Output BAR0_MEM_CYC Output BAR1_CYC Output CONFIG_CYC Output RD_CYC Output WR_CYC Output MEM_DIN Input MEM_DOUT Output MEM_DATA_DEN Output MEM_DATA_DEN64 Output 3 MEM_ADD[N:0] Output DP_START Output DP_START64 DP_DONE Output RD_BE_NOW Output ...

Page 10

CorePCI v5.41 Table 6 • CorePCI Backend Interface Signal (Continued) 1,2 Name Type WR_BE_NOW[3:0] Output WR_BE_NOW64[3:0] WR_BE_RDY Input PIPE_FULL_CNT[2:0] Input BE_REQ Input BE_GNT Output DMA_GNT Output BUSY_MASTER Input STALL_MASTER Input ERROR Input Notes: 1. Active LOW signals are designated with ...

Page 11

Table 6 • CorePCI Backend Interface Signal (Continued) 1,2 Name Type BUSY Input EXT_INTn Input CS_CONTROLn Input RD_CONTROLn Input WR_CONTROLn Input CONTROL_ADD[1:0] Input MASTER_BE[3:0] Input MASTER_BE64[3:0] Input Notes: 1. Active LOW signals are designated with a trailing lower-case n. 2. ...

Page 12

CorePCI v5.41 CorePCI Target Function CorePCI Target function acts like a slave on the PCI bus. The Target controller monitors the bus and checks for hits to either configuration space or to the address space defined in its base address ...

Page 13

Read-Only Configuration Registers The read-only registers listed in Table 8 on page 13 default values, but should be modified by the designer. See the PCI specification for setting these values: • Vendor ID • Device ID • Revision ID • ...

Page 14

CorePCI v5.41 Table 9 • Command Register (04h) Bit Type Description 0 RW I/O Space A value of '0' disables the device’s response to I/O space addresses. Set to '0' after reset Memory Space A value of '0' ...

Page 15

Table 10 • Status Register (06h) (Continued) Bit Type Description 6 RO UDF Supported Set to '0' – no user definable features Fast Back-to-Back Capable Set to '0' – fast back-to-back to same agent only Data ...

Page 16

CorePCI v5.41 Table 11 • Memory Base Address Register Bit Definition (Locations 10h or 14h) Bit Type Description 0 RO Set to '0' to indicate memory space. 2–1 RO Set to '00' to indicate mapping into any 32-bit address space. ...

Page 17

CorePCI Master Function The Master function in CorePCI is designed to perform the following: • Arbitrate for the PCI bus • Initiate an access by asserting FRAMEn and providing the address and command • Pass dataflow control to the Target ...

Page 18

CorePCI v5.41 Table 20 • DMA Control Register Bit Type Description 0–1 RW DMA Error 00 – No Error 01 – Master Abort 10 – Parity Error 11 – Target Abort 2 RO DMA Done A '1' indicates that the ...

Page 19

Table 20 • DMA Control Register (Continued) Bit Type Description 28 RO Reserved (set to '0'). 31–29 RW Maximum Burst Length When set to '000'b, the Master controller will attempt to complete the requested transfer in a single burst. When ...

Page 20

CorePCI v5.41 Table 22 • CorePCI Customization Constants Constant Type 1 USER_DEVICE_ID Binary 1 USER_VENDOR_ID Binary 1 USER_REVISION_ID Binary 1 USER_BASE_CLASS Binary 1 USER_SUB_CLASS Binary 1 USER_PROGRAM_IF Binary 1 USER_SUBSYSTEM_ID Binary 1 USER_SUBVENDOR_ID Binary BIT_64 Binary 1 MHZ_66 Binary 1 ...

Page 21

Table 22 • CorePCI Customization Constants (Continued) Constant Type ENABLE_BAR_OVERFLOW Binary EXPORT_CLOCK_OUT Binary Notes: 1. Not applicable in Target-only core. 2. Only applicable in Target+DMA core. 3. Not applicable in Master-only core. Description When ENABLE_BAR_OVERFLOW is set the core will ...

Page 22

CorePCI v5.41 System Timing To meet 33 MHz PCI timing specifications, only standard speed devices from the A54SX, A54SX-A, AX, A500K, and the APA families are required. To meet 66 MHz PCI timing requirements, the "–3" speed grade parts from ...

Page 23

CLK FRAMEn AD PAR CBE IRDYn TRDYn STOPn DEVSELn IDSEL Notes the Target’s IDSEL is asserted when FRAMEn is asserted and the command bus is '1011', then a configuration write cycle is indicated. 2. The Target claims the ...

Page 24

CorePCI v5.41 In the case of a PCI read, the backend must prefetch the memory data in order to ensure continuity on long bursts. If prefetching causes a problem, for example in a FIFO, the backend logic should shadow the ...

Page 25

CLK FRAMEn AD PAR CBE IRDYn TRDYn STOPn DEVSELn DP_START BARn_CYC RD_CYC DP_DONE RD_BE_RDY RD_BE_NOW MEM_ADDRESS MEM_DATA Notes: 1. When FRAMEn is asserted and the command bus is '0110', then a read from memory space is indicated. 2. The Target ...

Page 26

CorePCI v5.41 CLK FRAMEn REQ64n AD[63:32] AD[31:0] PAR PAR64 CBE IRDYn TRDYn STOPn DEVSELn ACK64n DP_START DP_START64 DP_DONE WR_BE_RDY WR_BE_NOW WR_BE_NOW64 MEM_ADDRESS MEM_DATA[63:32] MEM_DATA[31:0] Notes: 1. When FRAMEn and REQ64n is asserted and the command bus is '0111', then a ...

Page 27

CLK FRAMEn REQ64n AD[63:32] AD[31:0] PAR PAR64 CBE IRDYn TRDYn STOPn DEVSELn ACK64n DP_START DP_START64 DP_DONE RD_BE_RDY RD_BE_NOW RD_BE_NOW64 MEM_ADDRESS MEM_DATA[63:32] MEM_DATA[31:0] Notes: 1. When FRAMEn and REQ64n is asserted and the command bus is '0110', then a 64-bit read ...

Page 28

CorePCI v5.41 Paced Transactions Backend throttle transfers provide mechanism for supporting slow response devices. The backend transactions are paced using the RD_BE_RDY and WR_BE_RDY signals. These signals can be used to pace either single DWORD or burst transactions. CLK FRAMEn ...

Page 29

CLK FRAMEn AD[31:0] PAR CBE[3:0] 0110 IRDYn TRDYn STOPn DEVSELn DP_START DP_DONE RD_BE_RDY RD_BE_NOW MEM_ADDRESS[23:2] MEM_DATA[31:0] Notes: 1. The RD_BE_RDY can be asserted one cycle before the backend is ready to transmit data. 2. The RD_BE_RDY signal is asserted ...

Page 30

CorePCI v5.41 CLK 1 2 FRAMEn AD[31:0] data2 data3 data4 Pd1 Pd2 PAR CBE[3:0] IRDYn TRDYn STOPn DEVSELn DP_START DP_DONE WR_BE_RDY WR_BE_NOW MEM_ADDRESS[23:2] add1 add2 MEM_DATA[31:0] data1 data2 data3 Notes the example, the flow of data is interrupted ...

Page 31

CLK 1 2 FRAMEn AD[31:0] data2 data3 Pd1 Pd2 PAR CBE[3:0] IRDYn TRDYn STOPn DEVSELn DP_START DP_DONE RD_BE_RDY RD_BE_NOW MEM_ADDRESS[23:2] add3 add4 MEM_DATA[31:0] data3 data4 Notes the example, the PCI Master interrupts the flow of data by de-asserting ...

Page 32

CorePCI v5.41 1 CLK FRAMEn AD PAR CBE IRDYn TRDYn STOPn DEVSELn DP_START DP_DONE RD_BE_RDY RD_BE_NOW PIPE_FULL_CNT MEM_ADDRESS MEM_DATA Figure 15 • Backend Latency Read Transaction Target Abort The backend may cause a target abort abort, which is defined by ...

Page 33

Target Retry and Disconnect When the backend is busy or unable to provide the data requested, the Target controller will respond with either a retry or a disconnect cycle. If the backend has arbitrated for control of the backend bus ...

Page 34

CorePCI v5.41 CLK FRAMEn AD[31:0] PAR CBE[3:0] IRDYn TRDYn STOPn DEVSELn BUSY DP_START DP_DONE RD_BE_RDY RD_BE_NOW MEM_ADDRESS[23:2] MEM_DATA[31:0] Notes: 1. During a normal PCI transaction, the backend reaches a point where it is unable to deliver data and de-asserts RD_BE_RDY. ...

Page 35

CLK 1 2 EXT_INTn INTAn Notes: 1. The EXT_INTn signal is sampled on the rising edge of each clock the EXT_INTn signal is asserted and sampled in cycle 2, then the PCI INTAn signal will be asserted in ...

Page 36

CorePCI v5.41 CLK 1 DP_START FRAMEn AD[31:0] PAR C_BE[3:0] IRDYn TRDYn STOPn DEVSELn DP_DONE BARn_CYC WR_CYC MEM_ADDRESS[23:2] MEM_DATA[31:0] WR_BE_RDY WR_BE_NOW[3:0] Notes: 1. Once CorePCI is granted the PCI bus, the core asserts DP_START and begins the process of enabling the ...

Page 37

CLK 1 2 DP_START FRAMEn AD[31:0] PAR C_BE[3:0] IRDYn TRDYn STOPn DEVSELn DP_DONE BARn_CYC RD_CYC MEM_ADDRESS[23:2] MEM_DATA[31:0] RD_BE_RDY RD_BE_NOW Notes: 1. Once CorePCI is granted the PCI bus, the core asserts DP_START and begins the process of enabling the bus ...

Page 38

CorePCI v5.41 Backend Control of DMA Activity The core provides two signals, BUSY_MASTER and STALL_MASTER, that can be used to control the DMA transfers. BUSY_MASTER allows a DMA transfer to be stopped, and STALL_MASTER allows for slow backends to meet ...

Page 39

CLK DP_START STALL_MASTER BUSY_MASTER FRAMEn AD[31:0] CBE[3:0] IRDYN TRDYn STOPn DEVSELn DP_DONE RD_CYC MEM_ADDRESS[23:2] MEM_DATA[31:0] RD_BE_RDY RD_BE_NOW Figure 24 • DMA Master with STALL_MASTER Asserted When STALL_MASTER is active (see possible for the PCI GNT to go away; in this ...

Page 40

... CorePCI v5.41 Ordering Information CorePCI v5.41 can be ordered through your local Actel sales representative. It should be ordered using the following numbering scheme; CorePCI-XX where XX corresponds to one of the variables in Table 23 • Ordering Codes XX Description EV Evaluation Version SN Netlist for single-use on Actel devices AN Netlist for unlimited use on Actel devices ...

Page 41

List of Changes The following table lists critical changes that were made in the current version of the document. Previous Version Changes in Current Version ( v4.0 ProASIC3/E data was added. Datasheet Categories In order to provide the ...

Page 42

... Actel and the Actel logo are registered trademarks of Actel Corporation. All other trademarks are the property of their owners. Actel Corporation Actel Europe Ltd. 2061 Stierlin Court Dunlop House, Riverside Way Mountain View, CA Camberley, Surrey GU15 3YL 94043-4655 USA United Kingdom Phone 650.318.4200 Phone +44 (0) 1276 401 450 Fax 650 ...

Related keywords