AGLN-Z-NANO-KIT Actel, AGLN-Z-NANO-KIT Datasheet

no-image

AGLN-Z-NANO-KIT

Manufacturer Part Number
AGLN-Z-NANO-KIT
Description
MCU, MPU & DSP Development Tools IGLOO nano Starter Kit
Manufacturer
Actel
Datasheet

Specifications of AGLN-Z-NANO-KIT

Processor To Be Evaluated
AGLN250
Processor Series
IGLOO nano
Interface Type
USB
Maximum Operating Temperature
+ 70 C
Minimum Operating Temperature
- 20 C
Operating Supply Voltage
3.3 V
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
IGLOO nano Starter Kit
User’s Guide

Related parts for AGLN-Z-NANO-KIT

AGLN-Z-NANO-KIT Summary of contents

Page 1

IGLOO nano Starter Kit User’s Guide ...

Page 2

... Actel. Actel makes no warranties with respect to this documentation and disclaims any implied warranties of merchantability or fitness for a particular purpose. Information in this document is subject to change without notice. Actel assumes no responsibility for any errors that may appear in this document. This document contains confidential proprietary information that is not to be disclosed to any unauthorized person without prior written consent of Actel Corporation ...

Page 3

... IGLOO nano Starter Kit Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 Board Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 Kit Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 Web-Based Resources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 1 Board Components and Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 IGLOO nano Board Stackup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 Jumper and Switch Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 FPGA Description: AGLN250V2-VQG100 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 2 Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Power Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Battery . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 Current Measurement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 3 Operation of Board Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Clock Oscillator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Flash*Freeze Switch ...

Page 4

...

Page 5

... Key Features • Powered by USB cable or by external power (not supplied) • Test points for measuring current consumption of the AGLN250V2-VQG100 • USB-to-UART interface for HyperTerminal on PC connected to board • All components used on the board are low power components (i.e., LEDs (2 mA), resets (A ...

Page 6

... The evaluation board has a small form factor, measuring 3.2 inches by 4 inches, and supports an AGLN250 IGLOO nano device in the VQ100 package. The evaluation board consumes less than 150 mW. All components used on the board, such as LEDs, reset (µA range), and oscillator, are low-power components ...

Page 7

... IGLOO Icicle VHDL Design Files www.actel.com/documents/IGLOO_Icicle_tutorial_VHDL_Libero84sp2_revA.zip Board References IGLOO nano Starter Kit Board – Allegro PCB file www.actel.com/documents/IGLOO_nano_StarterKit_PCB.zip IGLOO nano Starter Kit Board – OrCAD Schematics (DSN) www.actel.com/documents/IGLOO_nano_StarterKit_DSN.zip IGLOO nano Starter Kit Board – PDF Schematics www.actel.com/documents/IGLOO_nano_StarterKit_SS.pdf IGLOO nano Starter Kit 7 ...

Page 8

...

Page 9

Board Components and Settings This chapter describes the components and settings for the IGLOO nano Evaluation Board. Block Diagram The IGLOO nano board Evaluation Board is shown in Figure 1-1 • IGLOO nano Evaluation Board Figure 1-1. R ...

Page 10

Board Components and Settings IGLOO nano Board Stackup The IGLOO nano board is built on a four-layer printed circuit board (PCB): 1. Top Signal (Figure 2. Power 3. GND 4. Bottom Signal Figure 1-2 • Top Silkscreen 10 1-2) (Figure ...

Page 11

Figure 1-3 • Bottom Silkscreen IGLOO nano Starter Kit 11 ...

Page 12

Board Components and Settings Jumper and Switch Settings Recommended default jumper settings are defined in highlighted in grey. Connect jumpers in the default settings described in programmed demo design to function correctly. Table 1-1 • Jumper Settings for Demo Design ...

Page 13

... AGLN250 FPGA supports the enhanced nano features of Schmitt Trigger input, bus hold (holds previous I/O state in Flash*Freeze mode), cold-sparing, hot-swap I/O capability, and 1.2 V programming. In Designer, users can target their design to use either IGLOO AGL250 or IGLOO nano AGLN250. Some features are listed in the " ...

Page 14

... Figure 1-4 • AGLN250V2-VQG100 Banks 0–3 Schematic 14 U5A U5A IO BANK0 IO BANK0 98 GAA0/IO00RSB0 IO10RSB0 97 GAA1/IO01RSB0 IO11RSB0 96 GAB0/IO02RSB0 IO12RSB0 95 GAB1/IO03RSB0 IO13RSB0 94 GAC0/IO04RSB0 GBC0/IO14RSB0 93 GAC1/IO05RSB0 GBC1/IO15RSB0 ...

Page 15

... EBMS321611B190-1206 C18 C18 Ferrite Bead 10u-TantA 10u-TantA Figure 1-6 • AGLN250V2-VQG100 Power and GND pins FPGA decoupling capacitors are placed close to the power pins FPGA Decoupling Capacitors - Place close to power pins VCC VCC_B0 VCC_B1 VCC_B2 VCC_B3 Figure 1-7 • Decoupling Capacitors ...

Page 16

...

Page 17

Power The IGLOO nano development board is powered through an external voltage power brick or USB. If both the power brick and USB are plugged in, the development board will power through the power brick. If the power ...

Page 18

... Power Table 2-2 gives a summary of the power modes available with IGLOO nano devices in general and is extracted from the “Actel’s Flash*Freeze Technology and Low Power Modes” chapter of the FPGA Fabric User’s Table 2-2 • Power Modes Mode VCC VCCI Core Clocks ...

Page 19

Current Measurement Once the IGLOO nano evaluation board is powered up, you can evaluate power usage by current consumption, using the current measurement pins on the board. All banks are separated and two of the banks have the option of ...

Page 20

Power Figure 2-4 shows the schematic for the current measurement headers. Note: Short Pins 1-2: +3.3V Short Pins 3-4: +2.5V Short Pins 5-6: VCC_CORE (+1.5V/+1.2V) VCC_CORE VCC_2_5 VCC_3_3 JP5 JP5 3x2 Header (M) 3x2 Header (M) Note: ...

Page 21

... Duty – CMOS level (1/2 VDD) Rise and Fall Time (0.2 VDD and 0.8 VDD) Start-up Time Reference Additional information on this clock oscillator is available at Actel's IGLOO nano Starter Kit website: www.actel.com/products/hardware/devkits_boards/igloonano_starter.aspx. Schematic Figure 3-1 shows the schematic for the clock oscillator. ...

Page 22

... Reset A push-button reset switch with a Schmitt-triggered device (DS1818) is provided for the IGLOO nano AGLN250 FPGA populated on the board. This Schmitt trigger device can be bypassed because an AGLN250 FPGA supports additional I/O advanced features such as Schmitt trigger. The DS1818 uses a precision temperature-compensated reference and comparator circuit to monitor the status of the power supply (VCC) ...

Page 23

... An F*F switch is provided on the board for designs that utilize the Flash*Freeze technology. Setting the F*F switch to FF_ON will enable the Flash*Freeze mode of the IGLOO nano device. In the Flash*Freeze schematic shown in Figure nano FPGA. This Schmitt device can be bypassed with a resistor because an AGLN250 FPGA supports additional I/O advanced features such as Schmitt trigger. SW6 SW6 ...

Page 24

Operation of Board Components Push-Button Switches Four active low push-button switches are provided on the board for user debug. You can remove the corresponding jumpers from the Header to detach any of the four push-button switches from ...

Page 25

DIP Switches A DIP switch pack (8 switches) is provided on the board. You can remove the corresponding jumpers from the header to detach any of the eight DIP Switches from the FPGA I/O. Figure 3-7 • ...

Page 26

Operation of Board Components User LEDs Eight active low debug LEDs are provided on the board. You can remove the corresponding jumpers from the headers to detach any of the eight LEDs from the FPGA I/O. Figure ...

Page 27

DIP switches, and push-button switches, you must first remove the corresponding 2-pin jumper on their path. IO_B0 GND IO_B1 IO_B2 GND IO_B3 Figure 3-11 • I/O Test Pins agl_b0_p98 [4] 2 agl_b0_p97 [4] 3 agl_b0_p96 [4] 4 ...

Page 28

... USB-to-UART bridge controller (U8) to provide a standard UART connection with the IGLOO nano FPGA. Any standard UART controller can be implemented in the IGLOO nano FPGA to allow access with this interface. In addition, the Actel IP catalog includes various UART controllers, specifically CoreUART, which can be instantiated in the FPGA design with an embedded processor. ...

Page 29

... You do not need to have the LCPS connected to the IGLOO nano board to operate it once the FPGA has been programmed. The Actel IGLOO nano board only needs the LCPS connected when programming the IGLOO AGLN250V2-VQG100. Note: The LCPS supplied with this kit is intended for use with the IGLOO nano Starter Kit. An LCPS supplied for another kit, although electrically and functionally equivalent, may not connect seamlessly with the IGLOO nano Starter Kit board ...

Page 30

...

Page 31

... Attach the LCPS board to the IGLOO nano evaluation board. 2. Attach a USB cable to the LCPS. This allows a programming data file, in programming database format (*.pdb) or STAPL format (*.stp downloaded via the FlashPro software to the Actel IGLOO nano device fitted to the board separate USB connection is required for the IGLOO nano Board if no other power source is attached to the IGLOO nano Board ...

Page 32

...

Page 33

... The demo design RTL code and design files are available at the IGLOO nano Starter Kit website: http://www.actel.com/products/hardware/devkits_boards/igloonano_starter.aspx Powering Up the Board and Running the Demo 1. Check and put the board in its default setting. ...

Page 34

...

Page 35

... A – Resources IGLOO nano Starter Kit http://www.actel.com/products/hardware/devkits_boards/igloonano_starter.aspx IGLOO nano Overview http://www.actel.com/products/igloonano/default.aspx IGLOO nano Datasheet http://www.actel.com/documents/IGLOO_nano_DS.pdf IGLOO nano FPGA Fabric User’s Guide http://www.actel.com/documents/IGLOO_nano_UG.pdf Libero IDE Design Software http://www.actel.com/products/software/libero/default.aspx ...

Page 36

...

Page 37

... Figure 1-5 • AGLN250V2-VQG100 JTAG Pins Figure 1-6 • AGLN250V2-VQG100 Power and GND pins The "Reset" section state that the Schmitt trigger device can be bypassed because an AGLN250 FPGA supports additional I/O advanced features such as Schmitt trigger. A similar revision was made to the The " ...

Page 38

...

Page 39

... Many answers available on the searchable web resource include diagrams, illustrations, and links to other resources on the Actel web site. Website You can browse a variety of technical and non-technical information on Actel’s home page, at www.actel.com. Contacting the Customer Technical Support Center Highly skilled engineers staff the Technical Support Center from 7:00 a ...

Page 40

... The phone hours are from 7:00 a.m. to 6:00 p.m., Pacific Time, Monday through Friday. The Technical Support numbers are: 650.318.4460 800.262.1060 Customers needing assistance outside the US time zones can either contact technical support via email (tech@actel.com) or contact a local sales office. Sales office listings can be found on the website at www.actel.com/company/contact/default.aspx visio n 2 ...

Page 41

... R , resources schematic AGLN250V2-VQG100 Banks 0-3 14 battery header 18 clock oscillator 21 current measurement header 20 DIP switches 25 flash*freeze 23 FPGA programming headers 29 I/O test pins 27 power input 18 power LED regulator 17 push-button switches 24 reset 22 test LEDs 26 USB-to-UART 28 switches DIP 25 ...

Page 42

Index U USB-to-UART interface 6 User LEDs web-based technical support R e visio ...

Page 43

...

Page 44

... Fax +44 (0) 1276 607 540 © 2010 Actel Corporation. All rights reserved. Actel, Actel Fusion, IGLOO, Libero, Pigeon Point, ProASIC, SmartFusion and the associated logos are trademarks or registered trademarks of Actel Corporation. All other trademarks and service marks are the property of their respective owners. ...

Related keywords