A3PE-BRD600-SKT Actel, A3PE-BRD600-SKT Datasheet - Page 35

no-image

A3PE-BRD600-SKT

Manufacturer Part Number
A3PE-BRD600-SKT
Description
MCU, MPU & DSP Development Tools ProASIC3/E Starter Kit
Manufacturer
Actel
Datasheet

Specifications of A3PE-BRD600-SKT

Processor To Be Evaluated
ProASIC3/E
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
Quick Start Tutorial
Step 1 – Create a New Project
ProASIC3/E Starter Kit User’s Guide and Tutorial
This tutorial illustrates a VHDL design for a ProASIC3/E starter kit board. The design is created in Actel Libero IDE
v6.2. The steps involved are as follows:
“Step 1 – Create a New Project”
“Step 2 – Perform Pre-Synthesis Simulation”
“Step 3 – Synthesize the Design in Synplify”
“Step 4 – Perform Post-Synthesis Simulation”
“Step 5 – Implement the Design with Designer”
“Step 6 – Perform Timing Simulation with Back-Annotated Timing”
“Step 7 – Generate the Programming File”
“Step 8 – Program the Device”
This step uses the Libero IDE HDL Editor to enter an Actel VHDL design.
To create the VHDL project:
1.
2.
3.
4.
5.
Double-click the Libero IDE icon on your desktop to start the program.
From the File menu, select New Project. This displays the New Project Wizard, as shown in
Enter your Project name. For this tutorial, name your project quickstart.
Select your HDL type.
If necessary, in the Project location field, click Browse to navigate to C:\Actelprj. Click Next to continue.
Figure 7-1. New Project Wizard in Libero IDE
Figure
7-1.
7
35

Related parts for A3PE-BRD600-SKT