A3PE-BRD600-SKT Actel, A3PE-BRD600-SKT Datasheet - Page 38

no-image

A3PE-BRD600-SKT

Manufacturer Part Number
A3PE-BRD600-SKT
Description
MCU, MPU & DSP Development Tools ProASIC3/E Starter Kit
Manufacturer
Actel
Datasheet

Specifications of A3PE-BRD600-SKT

Processor To Be Evaluated
ProASIC3/E
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
Quick Start Tutorial
38
12. Click Add Files in the New Project Wizard to add existing project design files. Include any ACTgen cores, Block
13. Select the file type and click Add Files. Browse to your file, and click Add. Add as many files as you wish in this way.
14. Review your project information. Click Finish to close the Wizard and create your new project
Your Libero IDE project exists, but you must add code or source to the project, such as a schematic, an ACTgen core, or
a VHDL entity or package file, before you can run synthesis.
Symbol Files, Schematic Files, VHDL Packages, HDL Source Files, Implementation files, and Stimulus Files
(Figure
Click Next to continue.
Back to return to any step of the Wizard and correct information in your project.
7-6).
Figure 7-6. Add Files in the New Project Wizard (Libero IDE)
Figure 7-7. Summary in New Project Wizard
ProASIC3/E Starter Kit User’s Guide and Tutorial
(Figure
7-7). Click

Related parts for A3PE-BRD600-SKT