LFE3-150EA-7LFN1156I Lattice, LFE3-150EA-7LFN1156I Datasheet

no-image

LFE3-150EA-7LFN1156I

Manufacturer Part Number
LFE3-150EA-7LFN1156I
Description
FPGA - Field Programmable Gate Array 149K LUTs 586 I/O 1.2V -7 SPEED
Manufacturer
Lattice
Datasheet

Specifications of LFE3-150EA-7LFN1156I

Rohs
yes
Factory Pack Quantity
24

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
LFE3-150EA-7LFN1156I
Manufacturer:
Lattice Semiconductor Corporation
Quantity:
10 000
LatticeECP3 Family Data Sheet
DS1021 Version 02.2EA, April 2012

Related parts for LFE3-150EA-7LFN1156I

LFE3-150EA-7LFN1156I Summary of contents

Page 1

... LatticeECP3 Family Data Sheet DS1021 Version 02.2EA, April 2012 ...

Page 2

... Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice. ...

Page 3

... Diamond and ispLEVER tools use the synthesis tool output along with the constraints from its floor planning tools to place and route the design in the LatticeECP3 device. The tools extract the timing from the routing and back-annotate it into the design for timing verification. ...

Page 4

... The LatticeECP3 devices use 1.2V as their core voltage. © 2012 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice. ...

Page 5

... Note: There is no Bank 4 or Bank 5 in LatticeECP3 devices. PFU Blocks The core of the LatticeECP3 device consists of PFU blocks, which are provided in two forms, the PFU and PFF. The PFUs can be programmed to perform Logic, Arithmetic, Distributed RAM and Distributed ROM functions. PFF blocks can be programmed to perform Logic, Arithmetic and ROM functions ...

Page 6

... CARRY Slice 1 Slice Routing PFU BLock Modes Logic, ROM 2-3 Architecture LatticeECP3 Family Data Sheet LUT4 & LUT4 LUT4 CARRY Slice PFF Block Resources Modes Logic, Ripple, ROM Logic, Ripple, ROM Logic, Ripple, ROM 2 LUT4s Logic, ROM ...

Page 7

... F0, F1 LUT4 output register bypass signals Q0, Q1 Register outputs OFX0 Output of a LUT5 MUX OFX1 Output of a LUT6, LUT7, LUT8 FCO Slice 2 of each PFU is the fast carry chain output 2-4 LatticeECP3 Family Data Sheet SLICE OFX1 FF* To Routing LUT5 Mux ...

Page 8

... LatticeECP3 devices support distributed memory initialization. The Lattice design tools support the creation of a variety of different size memories. Where appropriate, the soft- ware will construct these using distributed memory primitives that represent the capabilities of the PFU. Table 2-3 shows the number of slices required to implement different distributed RAM primitives. For more information about using RAM in LatticeECP3 devices, please see TN1179, Table 2-3 ...

Page 9

... PFU configuration. For more information, please refer to TN1179, Routing There are many resources provided in the LatticeECP3 devices to route signals individually or as busses with related control signals. The routing resources consist of switching circuitry, buffers and metal interconnect (routing) segments. ...

Page 10

... Dynamic coarse phase shift, falling edge setting Delay Locked Loops (DLL) In addition to PLLs, the LatticeECP3 family of devices has two DLLs per device. CLKI is the input frequency (generated either from the pin or routing) for the DLL. CLKI feeds into the output muxes block to bypass the DLL, directly to the DELAY CHAIN block and (directly or through divider circuit) to the reference input of the Phase Detector (PD) input mux ...

Page 11

... DLL inputs and outputs. The user can configure the DLL for many common functions such as time reference delay mode and clock injection removal mode. Lattice provides primitives in its design tools for these functions. Figure 2-5. Delay Locked Loop Diagram (DLL) ALUHOLD ÷ ...

Page 12

... Gray-coded digital control bus to other DLLs via CIB LatticeECP3 devices have two general DLLs and four Slave Delay lines, two per DLL. The DLLs are in the lowest EBR row and located adjacent to the EBR. Each DLL replaces one EBR block. One Slave Delay line is placed adja- cent to the DLL and the duplicate Slave Delay line (in Figure 2-6) for the DLL is placed in the I/O ring between Banks 6 and 7 and Banks 2 and 3 ...

Page 13

... Figure 2-7. Sharing of PIO Pins by PLLs and DLLs in LatticeECP3 Devices Clock Dividers LatticeECP3 devices have two clock dividers, one on the left side and one on the right side of the device. These are intended to generate a slower-speed system clock from a high-speed edge clock. The block operates in a ÷2, ÷4 or ÷ ...

Page 14

... LatticeECP3 devices derive clocks from six primary source types: PLL outputs, DLL outputs, CLKDIV outputs, ded- icated clock inputs, routing and SERDES Quads. LatticeECP3 devices have two to ten sysCLOCK PLLs and two DLLs, located on the left and right sides of the device. There are six dedicated clock inputs: two on the top side, two on the left side and two on the right side of the device ...

Page 15

... Figure 2-10. Primary Clock Sources for LatticeECP3-35 PLL Input Clock Input Clock Input DLL Input PLL Input Figure 2-11. Primary Clock Sources for LatticeECP3-70, -95, -150 PLL Input PLL Input Clock Input Clock Input DLL Input PLL Input PLL Input PLL Input Clock Input ...

Page 16

... A global primary clock is a primary clock that is distributed to all quadrants. The clock routing structure in LatticeECP3 devices consists of a network of eight primary clock lines (CLK0 through CLK7) per quadrant. The pri- mary clocks of each quadrant are generated from muxes located in the center of the device. All the clock sources are connected to these muxes ...

Page 17

... DSP row or the center of the DSP row. Figure 2-15 shows this special vertical routing channel and the 20 secondary clock regions for the LatticeECP3 family of devices. All devices in the LatticeECP3 family have eight secondary clock resources per region (SC0 to SC7). The same secondary clock routing can be used for control signals ...

Page 18

... Table 2-6. Secondary Clock Regions Figure 2-15. LatticeECP3-70 and LatticeECP3-95 Secondary Clock Regions sysIO Bank 0 Secondary Clock Region R1C1 Secondary Clock Region R2C1 Secondary Clock Region R3C1 Secondary Clock Region R4C1 Secondary Clock Region R5C1 Number of Secondary Clock Device Regions ECP3-17 16 ECP3-35 16 ECP3-70 ...

Page 19

... Figure 2-18. Slice0 through Slice2 Control Selection Secondary Control Secondary Clock Feedlines: 6 PIOs + 16 Routing 8:1 8:1 8:1 8:1 SC2 SC3 SC4 SC5 8 Secondary Clocks (SC0 to SC7) per Region Clock/Control Primary Clock 8 7 28:1 Routing 12 Vcc 1 5 Routing 20:1 14 Vcc 1 2-16 Architecture LatticeECP3 Family Data Sheet 8:1 8:1 SC6 SC7 Clock to Slice Slice Control ...

Page 20

... The top left and top right PLL can also drive the two top edge clocks. Edge Clock Routing LatticeECP3 devices have a number of high-speed edge clocks that are intended for use with the PIOs in the implementation of high-speed interfaces. There are six edge clocks per device: two edge clocks on each of the top, left, and right edges ...

Page 21

... Right DLL_CLKOS Routing CLKINDEL (Left DLL_DEL) Input Pad Top Right PLL_CLKOP Top Left PLL_CLKOS 7:1 Right DLL_CLKOP Left DLL_CLKOS Routing CLKINDEL (Right DLL_DEL) 2-18 Architecture LatticeECP3 Family Data Sheet Left and Right Edge Clocks ECLK1 Left and Right Edge Clocks ECLK2 ECLK1 ECLK2 ...

Page 22

... ROM. Memory Cascading Larger and deeper blocks of RAM can be created using EBR sysMEM Blocks. Typically, the Lattice design tools cascade memory transparently, based on specific design inputs. LatticeECP3 Memory Usage ...

Page 23

... Conventional general-purpose DSP chips typically contain one to four (Multiply and Accumulate) MAC units with fixed data-width multipliers; this leads to limited parallelism and limited throughput. Their throughput is increased by higher clock speeds. The LatticeECP3, on the other hand, has many DSP slices that support different data widths. Memory Core ...

Page 24

... General Purpose DSP LatticeECP3 sysDSP Slice Architecture Features The LatticeECP3 sysDSP Slice has been significantly enhanced to provide functions needed for advanced pro- cessing applications. These enhancements provide improved flexibility and resource utilization. The LatticeECP3 sysDSP Slice supports many functions that include the following: • ...

Page 25

... For most cases, as shown in Figure 2-24, the LatticeECP3 DSP slice is backwards-compatible with the LatticeECP2™ sysDSP block, such that, legacy applications can be targeted to the LatticeECP3 sysDSP slice. The functionality of one LatticeECP2 sysDSP Block can be mapped into two adjacent LatticeECP3 sysDSP slices, as shown in Figure 2-25. ...

Page 26

... The LatticeECP2 sysDSP block supports the following basic elements. • MULT (Multiply) • MAC (Multiply, Accumulate) • MULTADDSUB (Multiply, Addition/Subtraction) • MULTADDSUBSUM (Multiply, Addition/Subtraction, Summation) Table 2-8 shows the capabilities of each of the LatticeECP3 slices versus the above functions. Table 2-8. Maximum Number of Elements in a Slice Width of Multiply MULT MAC ...

Page 27

... LatticeECP3 sysDSP Usage From FPGA Core AA AB OPCODE IR IR MULTA A_ALU 0 AMUX R= A ± B ± Logic ( FPGA Core 2-24 Architecture LatticeECP3 Family Data Sheet Guide SROB SROA MULTB PR B_ALU 0 Next DSP Slice BMUX COUT ALU = = FR OR ...

Page 28

... The output register is used to store the accumulated value. The ALU is con- figured as the accumulator in the sysDSP slice in the LatticeECP3 family can be initialized dynamically. A regis- tered overflow signal is also available. The overflow conditions are provided later in this document. Figure 2-27 shows the MAC sysDSP element ...

Page 29

... MMAC DSP Element The LatticeECP3 supports a MAC with two multipliers. This is called Multiply Multiply Accumulate or MMAC. In this case, the two operands, AA and AB, are multiplied and the result is added with the previous accumulated value and with the result of the multiplier operation of operands BA and BB. This accumulated value is available at the output. ...

Page 30

... OR = Output Register FR = Flag Register From FPGA Core AA AB OPCODE IR IR MULTA A_ALU 0 AMUX R= A ± B ± Logic ( FPGA Core 2-27 Architecture LatticeECP3 Family Data Sheet BA BB SROB SROA MULTB PR B_ALU 0 Next DSP Slice BMUX COUT ALU = = FR OR ...

Page 31

... OR = Output Register FR = Flag Register From FPGA Core AA AB OPCODE MULTA A_ALU B_ALU 0 0 AMUX BMUX R= A ± B ± Logic ( FPGA Core 2-28 Architecture LatticeECP3 Family Data Sheet BA BB SROB IR IR SROA MULTB PR Next DSP Slice COUT ALU OR ...

Page 32

... FR = Flag Register Advanced sysDSP Slice Features Cascading The LatticeECP3 sysDSP slice has been enhanced to allow cascading. Adder trees are implemented fully in sys- DSP slices, improving the performance. Cascading of slices uses the signals CIN, COUT and C Mux of the slice. Addition The LatticeECP3 sysDSP slice allows for the bypassing of multipliers and cascading of adder logic. High perfor- mance adder functions are implemented without the use of LUTs ...

Page 33

... Resources Available in the LatticeECP3 Family Table 2-9 shows the maximum number of multipliers for each member of the LatticeECP3 family. Table 2-10 shows the maximum available EBR RAM Blocks in each LatticeECP3 device. EBR blocks, together with Distributed RAM can be used to store variables locally for fast DSP operations. ...

Page 34

... Register Block Control Muxes CLK CEOT LSR GSR CEI PIOB DQS Control Block (One per DQS Group of 12 I/Os)*** Read Control DDRLAT* DDRCLKPOL* ECLKDQSR* Write Control DQCLK0* DQCLK1* DQSW* 2-31 Architecture LatticeECP3 Family Data Sheet PADA “T” sysIO Buffer PADB “C” ...

Page 35

... Status flag from DATAVALID logic, used to indicate when input data is captured in IOLOGIC and valid to core. Read signal for DDR memory interface Unshifted DQS strobe from input pad DQSI biased to go high when DQSI is tristate, goes to input logic block as well as core logic. 2-32 Architecture LatticeECP3 Family Data Sheet ...

Page 36

... Figure 2-37 for an overview of the DQS read control logic. Further discussion about using the DQS strobe in this module is discussed in the DDR Memory section of this data sheet. Please see TN1180, LatticeECP3 High-Speed I/O Interface LatticeECP3 Family Data Sheet for more information on this topic. 2-33 Architecture ...

Page 37

... CLKP for more information on this topic. 2-34 Architecture LatticeECP3 Family Data Sheet Clock Transfer & Gearing Registers* DDRLAT Config bit INB IPB ...

Page 38

... For more information about this topic, please see the list of technical documentation at the end of this data sheet DDR Gearing & ISI Correction Config Bit 2-35 Architecture LatticeECP3 Family Data Sheet Tristate Logic TO Output Logic DO ISI ...

Page 39

... DLL Calibrated DQS Delay Block Source synchronous interfaces generally require the input clock to be adjusted in order to correctly capture data at the input register. For most interfaces, a PLL is used for this adjustment. However, in DDR memories the clock LatticeECP3 Family Data Sheet PADA "T" PIO A LVDS Pair PADB " ...

Page 40

... DQS output in the DQS output register block. Figure 2-36 and Figure 2-37 show how the DQS transition signals that are routed to the PIOs. Please see TN1180, LatticeECP3 High-Speed I/O Interface LatticeECP3 Family Data Sheet for more information on this topic. 2-37 Architecture ...

Page 41

... DQS Strobe and Transition Detect Logic I/O Ring *Includes shared configuration I/Os and dedicated configuration I/Os. Bank 1 DQS DQS DQS DQS DQS DDR DLL (Right) SERDES 2-38 Architecture LatticeECP3 Family Data Sheet Configuration Bank DQS Delay Control Bus ECLK1 ECLK2 DQCLK0 DQCLK1 DDRLAT DDRCLKPOL ECLKDQSR DATAVALID ...

Page 42

... In a typical DDR Memory interface design, the phase relationship between the incoming delayed DQS strobe and the internal system clock (during the READ cycle) is unknown. The LatticeECP3 family contains dedicated circuits to transfer data between these domains. A clock polarity selector is used to prevent set-up and hold violations at the domain transfer between DQS (delayed) and the system clock ...

Page 43

... LVPECL, PCI. sysI/O Buffer Banks LatticeECP3 devices have six sysI/O buffer banks: six banks for user I/Os arranged two per side. The banks on the bottom side are wraparounds of the banks on the lower right and left sides. The seventh sysI/O buffer bank (Config- uration Bank) is located adjacent to Bank 2 and has dedicated/shared I/Os for configuration ...

Page 44

... V REF2(6) V CCIO6 GND LatticeECP3 devices contain two types of sysI/O buffer pairs. 1. Top (Bank 0 and Bank 1) and Bottom sysIO Buffer Pairs (Single-Ended Outputs Only) The sysI/O buffer pairs in the top banks of the device consist of two single-ended output drivers and two sets of single-ended input buffers (both ratioed and referenced). One of the referenced input buffers can also be con- figured as a differential input. Only the top edge buffers have a programmable PCI clamp. ...

Page 45

... CCIO all the I/O banks that are critical to the application. For more information about controlling the output logic state with valid input logic levels during power-up in LatticeECP3 devices, see the list of technical documentation at the end of this data sheet. The V ...

Page 46

... On-Chip Programmable Termination The LatticeECP3 supports a variety of programmable on-chip terminations options, including: • Dynamically switchable Single-Ended Termination with programmable resistor values of 40, 50 ohms. External termination to Vtt should be used for DDR2 and DDR3 memory controller implementation. • Common mode termination of 80, 100, 120 ohms for differential inputs Figure 2-39 ...

Page 47

... SERDES and PCS (Physical Coding Sublayer) LatticeECP3 devices feature channels of embedded SERDES/PCS arranged in quads at the bottom of the devices supporting up to 3.2Gbps data rate. Figure 2-40 shows the position of the quad blocks for the LatticeECP3- 150 devices. Table 2-14 shows the location of available SERDES Quads for all devices. ...

Page 48

... Figure 2-40. SERDES/PCS Quads (LatticeECP3-150) Table 2-13. LatticeECP3 SERDES Standard Support Standard PCI Express 1.1 Gigabit Ethernet SGMII XAUI Serial RapidIO Type I, Serial RapidIO Type II, Serial RapidIO Type III CPRI-1, CPRI-2, CPRI-3, CPRI-4 SD-SDI (259M, 344M) HD-SDI (292M) 3G-SDI (424M) 2 SONET-STS-3 2 SONET-STS-12 2 SONET-STS-48 1. For slower rates, the SERDES are bypassed and CML signals are directly connected to the FPGA routing. ...

Page 49

... Table 2-14. Available SERDES Quads per LatticeECP3 Devices Package ECP3-17 256 ftBGA 1 328 csBGA 2 channels 484 fpBGA 1 672 fpBGA — 1156 fpBGA — SERDES Block A SERDES receiver channel may receive the serial differential data stream, equalize the signal, perform Clock and Data Recovery (CDR) and de-serialize the data stream before passing the 8- or 10-bit data to the PCS logic. The SERDES transmitter channel may receive the parallel 8- or 10-bit data, serialize the data and transmit the serial bit stream through the differential drivers ...

Page 50

... For example, a PCI Express spread spectrum reference clock is not compatible with most Gigabit Ethernet applications because of tight CTC ppm requirements. While the LatticeECP3 architecture will allow the mixing of a PCI Express channel and a Gigabit Ethernet, Serial RapidIO or SGMII channel within the same quad, using a PCI Express spread spectrum clocking as the transmit ...

Page 51

... The IEEE 1149.1 port can be activated any time after power-up by sending the appropriate command through the TAP port. LatticeECP3 devices also support the Slave SPI Interface. In this mode, the FPGA behaves like a SPI Flash device (slave mode) with the SPI port of the FPGA to perform read-write operations. ...

Page 52

... Any time after the update the LatticeECP3 can be re-booted from this new configuration file. If there is a problem, such as corrupt data dur- ing download or incorrect version number with this new boot image, the LatticeECP3 device can revert back to the original backup golden configuration and try again ...

Page 53

... Density Shifting The LatticeECP3 family is designed to ensure that different density devices in the same family and in the same package have the same pinout. Furthermore, the architecture ensures a high success rate when performing design migration from lower density devices to higher density devices. In many cases also possible to shift a lower uti- lization design targeted for a high-density device to a lower density device ...

Page 54

... LatticeECP3 SERDES/PCS Usage Guide © 2012 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice. ...

Page 55

... Each P and N input must have less than the specified maximum input current. For a 16-channel device, the total input current would be 8mA*16 channels *2 input pins per channel = 256mA ESD Performance Please refer to the LatticeECP3 Product Family Qualification Summary ESD performance. DC and Switching Characteristics Condition Min ...

Page 56

... 3.3V, 2.5V, 1.8V, 1.5V, 1.2V, CCIO V = 1.2V (MAX 3.3V, 2.5V, 1.8V, 1.5V, 1.2V, 2 CCIO V = 1.2V (MAX 3-3 DC and Switching Characteristics LatticeECP3 Family Data Sheet Min. Typ. Max. — — 10 — — 150 -30 — -210 30 — 210 30 — — -30 — — — — 210 — ...

Page 57

... Assumes all outputs are tristated, all inputs are configured as LVCMOS and held at the V 3. Frequency 0 MHz. 4. Pattern represents a “blank” configuration data file 85°C, power supplies at nominal voltage determine the LatticeECP3 peak start-up current data, use the Power Calculator tool Over Recommended Operating Conditions Device ECP-17EA ECP3-35EA ...

Page 58

... Pre-emphasis adds 20mA to ICCA-OP data Over Recommended Operating Conditions Description current (per channel) current (per channel) current (per channel) current (per channel) current (per channel) current (per channel) 3-5 DC and Switching Characteristics LatticeECP3 Family Data Sheet Typ. Max. Units — — mA — — ...

Page 59

... LatticeECP3 sysIO Usage Guide. 3-6 LatticeECP3 Family Data Sheet V (V) REF Min. Typ. Max. — — — — — — — — — — — — — — — — — — — — — ...

Page 60

... REF - 0.125 V + 0.125 3.6 REF - 0. 0.18 3.6 REF - 0. 0.18 3.6 REF - 0 0.2 3.6 REF - 0 0.2 3.6 REF - 0 0.1 3.6 REF - 0 0.1 3.6 REF - 0 0.1 3.6 REF - 0 0.1 3.6 REF 3-7 DC and Switching Characteristics LatticeECP3 Family Data Sheet Max. (V) Min. (V) I (mA) OL 20, 16, 0 0.4 CCIO 12 0 0.2 0.1 CCIO 20, 16, 0 0.4 CCIO 12 0 0.2 0.1 CCIO 16, 12, 0 0.4 CCIO 0.2 0.1 CCIO 0 0 CCIO ...

Page 61

... 100 Ohm )/ 100 Ohm Driver Outputs Shorted to OD Each Other = 2.5V or 3.3V. CCIO 3-8 DC and Switching Characteristics LatticeECP3 Family Data Sheet Min. Typ. Max. 0 — 2.4 0.05 — 2.35 +/-100 — — — — +/-10 — 1.38 1.60 0.9V 1.03 — 250 350 450 — ...

Page 62

... LVDS25E The top and bottom sides of LatticeECP3 devices support LVDS outputs via emulated complementary LVCMOS outputs in conjunction with a parallel resistor across the driver outputs. The scheme shown in Figure 3-1 is one possible solution for point-to-point signals. Figure 3-1. LVDS25E Output Termination Example VCCIO = 2.5V (±5 VCCIO = 2.5V (± ...

Page 63

... BLVDS25 The LatticeECP3 devices support the BLVDS standard. This standard is emulated using complementary LVCMOS outputs in conjunction with a parallel external resistor across the driver outputs. BLVDS is intended for use when multi-drop and bi-directional multi-point differential signaling is required. The scheme shown in Figure 3-2 is one possible solution for bi-directional multi-point differential signals ...

Page 64

... LVPECL33 The LatticeECP3 devices support the differential LVPECL standard. This standard is emulated using complemen- tary LVCMOS outputs in conjunction with a parallel resistor across the driver outputs. The LVPECL input standard is supported by the LVDS differential input buffer. The scheme shown in Figure 3-3 is one possible solution for point-to-point signals ...

Page 65

... RSDS25E The LatticeECP3 devices support differential RSDS and RSDSE standards. This standard is emulated using com- plementary LVCMOS outputs in conjunction with a parallel resistor across the driver outputs. The RSDS input stan- dard is supported by the LVDS differential input buffer. The scheme shown in Figure 3-4 is one possible solution for RSDS standard implementation ...

Page 66

... MLVDS25 The LatticeECP3 devices support the differential MLVDS standard. This standard is emulated using complemen- tary LVCMOS outputs in conjunction with a parallel resistor across the driver outputs. The MLVDS input standard is supported by the LVDS differential input buffer. The scheme shown in Figure 3-5 is one possible solution for MLVDS standard implementation ...

Page 67

... Pseudo-Dual Port RAM (One PFU) 32x4 Pseudo-Dual Port RAM 64x8 Pseudo-Dual Port RAM DSP Function 18x18 Multiplier (All Registers) 9x9 Multiplier (All Registers) 36x36 Multiply (All Registers Function Function 3-14 DC and Switching Characteristics LatticeECP3 Family Data Sheet -9 Timing Units 3.8 3.8 5.4 4.0 4.2 4.5 4.7 -9 Timing Units 500 ...

Page 68

... Commercial timing numbers are shown. Industrial numbers are typically slower and can be extracted from the Diamond or ispLEVER soft- ware. 3. LatticeECP3-17EA is not available for the -9 speed grade. The data may vary slightly from -9. The fastest speed grade for LatticeECP3- 17EA is -8. Derating Timing Tables ...

Page 69

... Register Clock to Data Hold - PIO Input t H Register Clock to Data Setup - PIO Input t SU_DEL Register with Data Input Delay DC and Switching Characteristics LatticeECP3 Family Data Sheet Device Min. Max. Min. Max. Min. Max. Min. Max. ECP3-150EA — 500 — ECP3-150EA 0.8 — ...

Page 70

... LatticeECP3 External Switching Characteristics (Continued) Over Recommended Commercial Operating Conditions Parameter Description Clock to Data Hold - PIO Input t H_DEL Register with Input Data Delay Clock Frequency of I/O and PFU f MAX_IO Register Clock to Output - PIO Output t CO Register Clock to Data Setup - PIO Input t SU ...

Page 71

... LatticeECP3 External Switching Characteristics (Continued) Over Recommended Commercial Operating Conditions Parameter Description Clock to Data Hold - PIO Input t HPLL Register Clock to Data Setup - PIO Input t SU_DELPLL Register with Data Input Delay Clock to Data Hold - PIO Input t H_DELPLL Register with Input Data Delay ...

Page 72

... LatticeECP3 External Switching Characteristics (Continued) Over Recommended Commercial Operating Conditions Parameter Description t Data Hold After CLK DVECLKGDDR f DDRX1 Clock Frequency MAX_GDDR Generic DDRX2 Inputs with Clock and Data (>10 Bits Wide) Centered at Pin (GDDRX2_RX.ECLK.Centered) Using PCLK Pin for Clock Input Left and Right Sides ...

Page 73

... LatticeECP3 External Switching Characteristics (Continued) Over Recommended Commercial Operating Conditions Parameter Description Generic DDRX2 Inputs with Clock and Data (>10bits wide) are Aligned at Pin (GDDRX2_RX.ECLK.Aligned) (No CLKDIV) Left and Right Sides Using DLLCLKPIN for Clock Input t Data Setup Before CLK DVACLKGDDR t Data Hold After CLK ...

Page 74

... LatticeECP3 External Switching Characteristics (Continued) Over Recommended Commercial Operating Conditions Parameter Description f DDRX1 Clock Frequency MAX_GDDR t Data Valid Before CLK DVBGDDR t Data Valid After CLK DVAGDDR f DDRX1 Clock Frequency MAX_GDDR t Data Valid Before CLK DVBGDDR t Data Valid After CLK DVAGDDR f DDRX1 Clock Frequency MAX_GDDR Generic DDRX1 Output with Clock and Data Aligned at Pin (GDDRX1_TX ...

Page 75

... LatticeECP3 External Switching Characteristics (Continued) Over Recommended Commercial Operating Conditions Parameter Description Generic DDRX2 Output with Clock and Data (>10 Bits Wide) Centered at Pin Using PLL (GDDRX2_TX.PLL.Centered) Left and Right Sides t Data Valid Before CLK DVBGDDR t Data Valid After CLK DVAGDDR f DDRX2 Clock Frequency ...

Page 76

... RDTCLK Data (RDAT, RCTL) t DVACLKGDDR t Figure 3-7. DDR/DDR2/DDR3 Parameters DQS DQ t DQVBS t DQVAS DQS DQ t DVADQ Transmit Parameters t DIAGDDR t DIBGDDR Receive Parameters t DVACLKGDDR t DVECLKGDDR DVECLKGDDR Transmit Parameters t DQVAS t DQVBS Receive Parameters t DVADQ t t DVEDQ DVEDQ 3-23 DC and Switching Characteristics LatticeECP3 Family Data Sheet ...

Page 77

... Figure 3-8. Generic DDRX1/DDRX2 (With Clock Center on Data Window) CLOCK DATA t DVBCKGDDR t DVACKGDDR CLOCK DATA t SUGDDR t HGDDR Transmit Parameters t DVACKGDDR t DVBCKGDDR Receive Parameters t SUGDDR t HGDDR 3-24 DC and Switching Characteristics LatticeECP3 Family Data Sheet ...

Page 78

... SUDATA_EBR t Hold Data to EBR Memory HDATA_EBR t Setup Address to EBR Memory SUADDR_EBR t Hold Address to EBR Memory HADDR_EBR t Setup Write/Read Enable to EBR Memory SUWREN_EBR DC and Switching Characteristics LatticeECP3 Family Data Sheet Min. Max. Min. Max. — 0.137 — 0.147 — 0.252 — ...

Page 79

... Commercial timing numbers are shown. Industrial timing numbers are typically slower and can be extracted from the Diamond or ispLEVER software. 3. DSP slice is configured in Multiply Add/Sub 18x18 mode. 4. The output register is in Flip-flop mode. DC and Switching Characteristics LatticeECP3 Family Data Sheet 1, 2 (Continued Min ...

Page 80

... CSA WEA ADA t DIA DOA (Regs CO_EBR Mem(n) data from previous read output is only updated during a read cycle 3-27 DC and Switching Characteristics LatticeECP3 Family Data Sheet CO_EBR CO_EBR COO_EBR COO_EBR D0 D1 ...

Page 81

... Note: Input data and address are registered at the positive edge of the clock and output data appears after the positive edge of the clock. Three consecutive writes ACCESS ACCESS ACCESS D0 D1 3-28 DC and Switching Characteristics LatticeECP3 Family Data Sheet ACCESS ...

Page 82

... LVCMOS, VCCIO = 2.5V LVCMOS18 LVCMOS, VCCIO = 1.8V LVCMOS15 LVCMOS, VCCIO = 1.5V LVCMOS12 LVCMOS, VCCIO = 1.2V PCI33 PCI, VCCIO = 3.0V Output Adjusters LVDS25E LVDS, Emulated, VCCIO = 2.5V LVDS25 LVDS, VCCIO = 2.5V BLVDS25 BLVDS, Emulated, VCCIO = 2.5V MLVDS25 MLVDS, Emulated, VCCIO = 2.5V DC and Switching Characteristics LatticeECP3 Family Data Sheet Description 0.03 0.03 0.03 0.03 0.03 0.03 0.03 0.03 0.17 0.20 0.20 0.20 0.20 0.10 0.10 0.17 ...

Page 83

... LVCMOS 2.5 20mA drive, fast slew rate LVCMOS18_4mA LVCMOS 1.8 4mA drive, fast slew rate LVCMOS18_8mA LVCMOS 1.8 8mA drive, fast slew rate LVCMOS18_12mA LVCMOS 1.8 12mA drive, fast slew rate LVCMOS18_16mA LVCMOS 1.8 16mA drive, fast slew rate DC and Switching Characteristics LatticeECP3 Family Data Sheet (Continued) Description -0.07 -0.22 0.67 1.20 0.89 1.20 ...

Page 84

... Not all I/O standards and drive strengths are supported for all banks. See the Architecture section of this data sheet for details. 5. Commercial timing numbers are shown. Industrial numbers are typically slower and can be extracted from the Diamond or ispLEVER soft- ware. 6. This data does not apply to the LatticeECP3-17EA device. DC and Switching Characteristics LatticeECP3 Family Data Sheet ...

Page 85

... LatticeECP3 Maximum I/O Buffer Speed Buffer Maximum Input Frequency LVDS25 MLVDS25 BLVDS25 PPLVDS TRLVDS Mini LVDS LVPECL33 HSTL18 (all supported classes) HSTL15 SSTL33 (all supported classes) SSTL25 (all supported classes) SSTL18 (all supported classes) LVTTL33 LVCMOS33 LVCMOS25 LVCMOS18 LVCMOS15 LVCMOS12 PCI33 Maximum Output Frequency ...

Page 86

... LatticeECP3 Maximum I/O Buffer Speed (Continued) Buffer PCI33 1. These maximum speeds are characterized but not tested on every device. 2. Maximum I/O speed for differential output standards emulated with resistors depends on the layout. 3. LVCMOS timing is measured with the load specified in the Switching Test Conditions table of this document. ...

Page 87

... OUT f < 100MHz OUT MHz 25 to 500 MHz 90% to 90% 10% to 10% f > 4MHz. For PFD f < 4MHz. PFD 3-34 DC and Switching Characteristics LatticeECP3 Family Data Sheet Clock Min. Typ. Max. Edge clock 2 — 500 4 Primary clock 2 — 420 Edge clock 4 — ...

Page 88

... Condition Edge Clock Primary Clock Primary Clock < 250MHz Primary Clock 250MHz Edge Clock Primary Clock < 250MHz Primary Clock  250MHz Edge Clock 3-35 DC and Switching Characteristics LatticeECP3 Family Data Sheet Min. Typ. Max. 133 — 500 133 — 500 133 — ...

Page 89

... All measurements are with 50 ohm impedance. 2. See TN1176, LatticeECP3 SERDES/PCS Usage Guide 3. Inter-quad skew is between all SERDES channels on the device and requires the use of a low skew internal reference clock. DC and Switching Characteristics LatticeECP3 Family Data Sheet 1 Frequency Min 0.15 to 3.125 Gbps ...

Page 90

... FPGA logic active, I/Os around SERDES pins quiet, 3-37 LatticeECP3 Family Data Sheet Max. Units 0.17 UI, p-p 0.25 UI, p-p 0.35 UI, p-p 0.17 UI, p-p 0.20 UI, p-p 0.35 UI, p-p 0.10 UI, p-p 0.22 UI, p-p 0.24 UI, p-p 0.10 UI, p-p 0 ...

Page 91

... SERDES Bridge Recovered Clock Deserializer Polarity 1:8/1:10 Adjust BYPASS BYPASS T3 Encoder Polarity Adjust BYPASS BYPASS 3-38 DC and Switching Characteristics LatticeECP3 Family Data Sheet Avg. Max. Fixed Bypass 3 5 — 1 — — — — — — — — ...

Page 92

... DC and Switching Characteristics LatticeECP3 Family Data Sheet Min. Typ. Max. — — 136 — — 144 — — 160 — ...

Page 93

... Gbps Periodic 622 Mbps Periodic 150 Mbps Note: Values are measured with PRBS 2 quiet, voltages are nominal, room temperature. DC and Switching Characteristics LatticeECP3 Family Data Sheet Condition Min. 600 mV differential eye — 600 mV differential eye — 600 mV differential eye — ...

Page 94

... Lower swings for the clock may be possible, but will tend to increase jitter. 3. Measured at 50% amplitude. Figure 3-13. SERDES External Reference Clock Waveforms Min -1000 2 200 200 0 40 200 200 -20% — Guide. 3-41 DC and Switching Characteristics LatticeECP3 Family Data Sheet Typ. Max. — 320 — 1000 — V mV, p-p CCA mV, p-p — 2*V CCA differential — 0.3 CCA — ...

Page 95

... Figure 3-14. Jitter Transfer – 3.125 Gbps -10 -15 -20 0.01 Figure 3-15. Jitter Transfer – 2.5 Gbps -10 -15 -20 0.1 1 Frequency (MHz) REFCLK=312.5MHz REFCLK=156.25MHz REFCLK=125MHz 0.01 0.1 1 Frequency (MHz) REFCLK=250MHz REFCLK=156.26MHz REFCLK=125MHz REFCLK=100MHz 3-42 DC and Switching Characteristics LatticeECP3 Family Data Sheet 10 100 10 100 ...

Page 96

... Figure 3-16. Jitter Transfer – 1.25 Gbps -10 -15 -20 0.01 Figure 3-17. Jitter Transfer – 622 Mbps -10 -15 -20 -25 -30 -35 -40 0.01 0.1 1 Frequency (MHz) REFCLK=125MHz REFCLK=62.5MHz 0.1 1 Frequency (MHz) REFCLK=62.5MHz 3-43 DC and Switching Characteristics LatticeECP3 Family Data Sheet 10 100 10 100 ...

Page 97

... RX-IDLE-DET-DIFF-ENTERTIME on link 1. Values are measured at 2.5 Gbps. 2. Measured with external AC-coupling on the receiver. 3.Not in compliance with PCI Express 1.1 standard. Over Recommended Operating Conditions Description Test Conditions 3-44 DC and Switching Characteristics LatticeECP3 Family Data Sheet Min Typ Max 399.88 400 400.12 0.8 1.0 -3 -3.5 — ...

Page 98

... Values are measured at 2.5 Gbps. Over Recommended Operating Conditions Test Conditions 20%-80% Over Recommended Operating Conditions Test Conditions From 100 MHz to 3.125 GHz From 100 MHz to 3.125 GHz 3-45 DC and Switching Characteristics LatticeECP3 Family Data Sheet Min. Typ. Max. — 80 — 80 100 120 — — ...

Page 99

... Note: The sinusoidal jitter tolerance is measured with at least 0.37UIpp of Deterministic jitter (Dj) and the sum of Dj and Rj (random jitter least 0.55UIpp. Therefore, the sum of Dj, Rj and Sj (sinusoidal jitter least 0.65UIpp (Dj = 0.37 0.18 0.1). DC and Switching Characteristics LatticeECP3 Family Data Sheet 8.5UI 20dB/dec Data_rate/ ...

Page 100

... Jitter and skew are specified between differential crossings of the 50% threshold of the reference signal. 4. Jitter tolerance, Differential Input Sensitivity and Receiver Eye Opening parameters are characterized when Full Rx Equalization is enabled. 5. Values are measured at 2.5 Gbps. DC and Switching Characteristics LatticeECP3 Family Data Sheet Test Conditions Min. Typ. ...

Page 101

... Jitter tolerance, Differential Input Sensitivity and Receiver Eye Opening parameters are characterized when Full Rx Equalization is enabled. 5. Values are measured at 1.25 Gbps. Description Test Conditions 20%-80% Test Conditions From 100 MHz to 1.25 GHz From 100 MHz to 1.25 GHz 3-48 DC and Switching Characteristics LatticeECP3 Family Data Sheet Min. Typ. Max. — 80 — 80 100 120 — ...

Page 102

... Jitter is defined in accordance with SMPTE RP1 184-1996 as: jitter at an equipment output in the absence of input jitter. 3. All Tx jitter is measured at the output of an industry standard cable driver; connection to the cable driver is via a 50 ohm impedance differen- tial signal from the Lattice SERDES device. 4. The cable driver drives: RL=75 ohm, AC-coupled at 270, 1485, or 2970 Mbps, RREFLVL=RREFPRE=4.75kohm 1%. ...

Page 103

... Output buffers must drive a translation device. Max. speed is 2Gbps. If translation device does not modify rise/fall time, the maximum speed is 1.5Gbps. 2. Input buffers must be AC coupled in order to support the 3.3V common mode. Generally, HDMI inputs are terminated by an external cable equalizer before data/clock is forwarded to the LatticeECP3 device Description ...

Page 104

... 75Ω 1% measurement. OH measurement. SDO OL SDO *Risetime compensation. Passband Ripple < ±1dB >1/10 f 10Hz Jitter Frequency 3-51 DC and Switching Characteristics LatticeECP3 Family Data Sheet V DDSD 75Ω test eqpt. (atteunation 0dB) 1.0µ DDSD 5.5-30pF* 50 test eqpt. (atteunation 3.5dB) 24.9Ω 1.0µ SCLK ...

Page 105

... LatticeECP3 sysCONFIG Port Timing Specifications Parameter POR, Configuration Initialization, and Wakeup Time from the Application the Last to Cross the POR Trip Point) to the Rising Edge of ICFG INITN t Time from t to the Valid Master MCLK VMC ICFG t PROGRAMN Low Time to Start Configuration ...

Page 106

... LatticeECP3 sysCONFIG Port Timing Specifications (Continued) Parameter t HOLDN Low Hold Time (Relative to CCLK) CHHH Master and Slave SPI (Continued) t HOLDN High Hold Time (Relative to CCLK) CHHL t HOLDN High Setup Time (Relative to CCLK) HHCH t HOLDN to Output High-Z HLQZ t HOLDN to Output Low-Z HHQX 1 ...

Page 107

... Figure 3-23. sysCONFIG Slave Serial Port Timing CCLK (input) DIN DOUT t BSCL t SUCS t SUWD t t HCBDI SUCBDI Byte 0 Byte 1 Byte 2 t SUMCDI t SSCL t SUSCDI 3-54 DC and Switching Characteristics LatticeECP3 Family Data Sheet t BSCYC t BSCH t HCS t HWD t DCB Byte n t HMCDI t CODO t SSCH t HSCDI t CODO ...

Page 108

... DONE t DPPDONE DI DOUT sysIO t IODISS t ICFG t VMC Valid whichever is the last to cross the POR trip point. CCAUX CCIO8 t DPPINIT HSCDI HMCDI SUSCDI SUMCDI 3-55 DC and Switching Characteristics LatticeECP3 Family Data Sheet Wake Up Clocks t SSCH t SSCL t CODO GOE Release t IOENSS ...

Page 109

... Figure 3-26. Configuration from PROGRAMN Timing PROGRAMN INITN DONE CCLK CFG[2:0] 1 USER I/O 1. The CFG pins are normally static (hard wired) Figure 3-27. Wake-Up Timing PROGRAMN INITN DONE CCLK USER I/O t PRGMRJ t DPPINIT t DINITD t IODISS Wake-Up t MWC t IOENSS 3-56 DC and Switching Characteristics LatticeECP3 Family Data Sheet t DINIT Valid ...

Page 110

... Figure 3-28. Master SPI Configuration Waveforms Capture CR0 VCC PROGRAMN DONE INITN CSSPIN CCLK SISPI SOSPI DC and Switching Characteristics Capture CFGx … … Opcode Address 3-57 LatticeECP3 Family Data Sheet … 127 128 Ignore Valid Bitstream ...

Page 111

... BTH t BTCPL t t BTCOEN BTCRH t BTCRS Data Captured t BTUPOEN 3-58 DC and Switching Characteristics LatticeECP3 Family Data Sheet Min Max — — 20 — 20 — 10 — 8 — 50 — — 10 — 10 — — 25 — ...

Page 112

... Includes Test Fixture and Probe Capacitance    1M  1M  100  100 3-59 DC and Switching Characteristics LatticeECP3 Family Data Sheet Test Poi nt C Timing Ref. L LVCMOS 3.3 = 1.5V LVCMOS 2 CCIO 0pF LVCMOS 1 CCIO LVCMOS 1 CCIO LVCMOS 1 ...

Page 113

... CCO V Input differential voltage ID V Input common mode voltage ICM V Termination supply voltage CCO R Termination resistance (off-chip) T Note: LatticeECP3 only supports the TRLVDS receiver. Transmitter Current Source Mini LVDS Parameter Symbol Z Single-ended PCB trace impedance O R Differential termination resistance T V Output voltage, differential, |V ...

Page 114

... Note: Data is for 2mA drive. Other differential driver current options are available. Over Recommended Operating Conditions Min. Typ. 3.14 3.3 2.25 2.5 100 — 0.2 — 130 — 0.5 0.8 Over Recommended Operating Conditions Description = 100 ohms T 3-61 DC and Switching Characteristics LatticeECP3 Family Data Sheet Max. Units 3.47 V 2.75 V 400 mV 2.3 V 400 mV 1.4 V Min. Typ. Max. 100 200 600 0.5 1 ...

Page 115

... PCLK[T, C][n:0]_[3:0] © 2012 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice. www.latticesemi.com ...

Page 116

... Parallel configuration I/O. Slave SPI data input. Open drain during configura- I/O tion. Parallel configuration I/O. Slave SPI data output. Open drain during configura- I/O tion. I/O Parallel configuration I/O. Open drain during configuration. Parallel configuration I/O. SPI/SPIm data input. Open drain during configura- I/O tion. 4-2 Pinout Information LatticeECP3 Family Data Sheet Description ...

Page 117

... High-speed output, negative channel m I Negative Reference Clock Input I High-speed input, positive channel m O High-speed output, positive channel m I Positive Reference Clock Input — Output buffer power supply, channel m (1.2V/1.5) — Input buffer power supply, channel m (1.2V/1.5V) 4-3 Pinout Information LatticeECP3 Family Data Sheet Description ...

Page 118

... P[Edge] [n-2] P[Edge] [n-1] P[Edge] [n] P[Edge] [n+1] P[Edge] [n+2] For Top Edge of the Device P[Edge] [n-3] P[Edge] [n-2] P[Edge] [n-1] P[Edge] [n] P[Edge] [n+1] P[Edge] [n+2] Note: “n” row PIC number. LatticeECP3 Family Data Sheet DDR Strobe (DQS) and PIO Within PIC Data (DQ) Pins ...

Page 119

... VCCA VCCPLL Bank 0 Bank 1 Bank 2 VCCIO Bank 3 Bank 6 Bank 7 Bank 8 VCCJ TAP GND, GNDIO NC 1 Reserved SERDES Miscellaneous Pins Total Bonded Pins LatticeECP3 Family Data Sheet ECP3-17EA ECP3-35EA 256 328 484 256 ftBGA csBGA fpBGA ftBGA fpBGA ...

Page 120

... Bank 8 SERDES Quads 1. These pins must remain floating on the board. 2. Some DQS groups may not support DQS-12. Refer to the device pinout (.csv) file. LatticeECP3 Family Data Sheet ECP3-17EA 256 ftBGA 328 csBGA 484 fpBGA 256 ftBGA 484 fpBGA 672 fpBGA 13 10 ...

Page 121

... Bank 1 Bank 2 DDR Groups Bonded Bank 3 1 per Bank Bank 6 Bank 7 Configuration Bank 8 SERDES Quads 1. Some DQS groups may not support DQS-12. Refer to the device pinout (.csv) file. LatticeECP3 Family Data Sheet ECP3-70EA 484 fpBGA 672 fpBGA ...

Page 122

... VCCAUX VTT VCCA VCCPLL Bank 0 Bank 1 Bank 2 VCCIO Bank 3 Bank 6 Bank 7 Bank 8 VCCJ TAP GND, GNDIO NC 1 Reserved SERDES Miscellaneous Pins Total Bonded Pins LatticeECP3 Family Data Sheet ECP3-95EA 484 672 1156 fpBGA fpBGA fpBGA ...

Page 123

... Pinout Information LatticeECP3 Family Data Sheet ECP3-150EA 672 fpBGA fpBGA 86/43 60/30 94/47 78/39 48/24 86/43 44/22 42/21 66/33 98/49 71/35 116/58 98/49 78/39 116/58 ...

Page 124

... Logic Signal Connections Package pinout information can be found under “Data Sheets” on the LatticeECP3 product pages on the Lattice website at www.latticesemi.com/products/fpga/ecp3 pinout information from within ispLEVER Design Planner, select View > Package View. Then select Select File > Export and choose a type of output file. To create a pin information file from within Diamond select Tools > ...

Page 125

... April 2012 LatticeECP3 Part Number Description LFE3 – XXX XX – XXXXXX X Device Family ECP3 (LatticeECP3 FPGA + SERDES) Logic Capacity 17 = 17K LUTs 35 = 33K LUTs 70 = 67K LUTs 95 = 92K LUTs 150 = 149K LUTs Supply Voltage EA = 1.2V Speed 6 = Slowest Fastest for LatticeECP3-17EA 9 = Fastest (excluding LatticeECP3-17EA) for Standard Power Only 1 ...

Page 126

... Part Number Voltage LFE3-17EA-6FTN256C 1.2V LFE3-17EA-7FTN256C 1.2V LFE3-17EA-8FTN256C 1.2V LFE3-17EA-6LFTN256C 1.2V LFE3-17EA-7LFTN256C 1.2V LFE3-17EA-8LFTN256C 1.2V LFE3-17EA-6MG328C 1.2V LFE3-17EA-7MG328C 1.2V LFE3-17EA-8MG328C 1.2V LFE3-17EA-6LMG328C 1.2V LFE3-17EA-7LMG328C 1.2V LFE3-17EA-8LMG328C 1.2V LFE3-17EA-6FN484C 1.2V LFE3-17EA-7FN484C 1.2V LFE3-17EA-8FN484C 1.2V LFE3-17EA-6LFN484C 1.2V LFE3-17EA-7LFN484C 1.2V LFE3-17EA-8LFN484C 1.2V 1. Green = Halogen free and lead free. Commercial Grade Power Package -6 STD Lead-Free ftBGA -7 STD Lead-Free ftBGA -8 STD Lead-Free ftBGA -6 ...

Page 127

... Voltage LFE3-35EA-6FTN256C 1.2V LFE3-35EA-7FTN256C 1.2V LFE3-35EA-8FTN256C 1.2V LFE3-35EA-9FTN256C 1.2V LFE3-35EA-6LFTN256C 1.2V LFE3-35EA-7LFTN256C 1.2V LFE3-35EA-8LFTN256C 1.2V LFE3-35EA-6FN484C 1.2V LFE3-35EA-7FN484C 1.2V LFE3-35EA-8FN484C 1.2V LFE3-35EA-9FN484C 1.2V LFE3-35EA-6LFN484C 1.2V LFE3-35EA-7LFN484C 1.2V LFE3-35EA-8LFN484C 1.2V LFE3-35EA-6FN672C 1.2V LFE3-35EA-7FN672C 1.2V LFE3-35EA-8FN672C 1.2V LFE3-35EA-9FN672C 1.2V LFE3-35EA-6LFN672C 1.2V LFE3-35EA-7LFN672C 1.2V LFE3-35EA-8LFN672C 1.2V Grade Power Package -6 STD Lead-Free ftBGA -7 STD Lead-Free ftBGA -8 STD Lead-Free ftBGA -9 STD Lead-Free ftBGA -6 LOW Lead-Free ftBGA -7 LOW ...

Page 128

... Voltage LFE3-70EA-6FN484C 1.2V LFE3-70EA-7FN484C 1.2V LFE3-70EA-8FN484C 1.2V LFE3-70EA-9FN484C 1.2V LFE3-70EA-6LFN484C 1.2V LFE3-70EA-7LFN484C 1.2V LFE3-70EA-8LFN484C 1.2V LFE3-70EA-6FN672C 1.2V LFE3-70EA-7FN672C 1.2V LFE3-70EA-8FN672C 1.2V LFE3-70EA-9FN672C 1.2V LFE3-70EA-6LFN672C 1.2V LFE3-70EA-7LFN672C 1.2V LFE3-70EA-8LFN672C 1.2V LFE3-70EA-6FN1156C 1.2V LFE3-70EA-7FN1156C 1.2V LFE3-70EA-8FN1156C 1.2V LFE3-70EA-9FN1156C 1.2V LFE3-70EA-6LFN1156C 1.2V LFE3-70EA-7LFN1156C 1.2V LFE3-70EA-8LFN1156C 1.2V Grade Power Package -6 STD Lead-Free fpBGA -7 STD Lead-Free fpBGA -8 STD Lead-Free fpBGA -9 STD Lead-Free fpBGA -6 LOW Lead-Free fpBGA -7 LOW ...

Page 129

... Voltage LFE3-95EA-6FN484C 1.2V LFE3-95EA-7FN484C 1.2V LFE3-95EA-8FN484C 1.2V LFE3-95EA-9FN484C 1.2V LFE3-95EA-6LFN484C 1.2V LFE3-95EA-7LFN484C 1.2V LFE3-95EA-8LFN484C 1.2V LFE3-95EA-6FN672C 1.2V LFE3-95EA-7FN672C 1.2V LFE3-95EA-8FN672C 1.2V LFE3-95EA-9FN672C 1.2V LFE3-95EA-6LFN672C 1.2V LFE3-95EA-7LFN672C 1.2V LFE3-95EA-8LFN672C 1.2V LFE3-95EA-6FN1156C 1.2V LFE3-95EA-7FN1156C 1.2V LFE3-95EA-8FN1156C 1.2V LFE3-95EA-9FN1156C 1.2V LFE3-95EA-6LFN1156C 1.2V LFE3-95EA-7LFN1156C 1.2V LFE3-95EA-8LFN1156C 1.2V Grade Power Package -6 STD Lead-Free fpBGA -7 STD Lead-Free fpBGA -8 STD Lead-Free fpBGA -9 STD Lead-Free fpBGA -6 LOW Lead-Free fpBGA -7 LOW ...

Page 130

... Note: Specifications for the LFE3-150EA-spFNpkgCTW and LFE3-150EA-spFNpkgITW devices, (where sp is the speed and pkg is the package), are the same as the LFE3-150EA-spFNpkgC and LFE3-150EA-spFNpkgI devices respectively, except as specified below. • The CTC (Clock Tolerance Circuit) inside the SERDES hard PCS in the TW device is not functional but it can be bypassed and implemented in soft IP. • ...

Page 131

... Part Number Voltage LFE3-17EA-6FTN256I 1.2V LFE3-17EA-7FTN256I 1.2V LFE3-17EA-8FTN256I 1.2V LFE3-17EA-6LFTN256I 1.2V LFE3-17EA-7LFTN256I 1.2V LFE3-17EA-8LFTN256I 1.2V LFE3-17EA-6MG328I 1.2V LFE3-17EA-7MG328I 1.2V LFE3-17EA-8MG328I 1.2V LFE3-17EA-6LMG328I 1.2V LFE3-17EA-7LMG328I 1.2V LFE3-17EA-8LMG328I 1.2V LFE3-17EA-6FN484I 1.2V LFE3-17EA-7FN484I 1.2V LFE3-17EA-8FN484I 1.2V LFE3-17EA-6LFN484I 1.2V LFE3-17EA-7LFN484I 1.2V LFE3-17EA-8LFN484I 1.2V 1. Green = Halogen free and lead free. Industrial Grade Power Package -6 STD Lead-Free ftBGA -7 STD Lead-Free ftBGA -8 STD Lead-Free ftBGA -6 ...

Page 132

... Voltage LFE3-35EA-6FTN256I 1.2V LFE3-35EA-7FTN256I 1.2V LFE3-35EA-8FTN256I 1.2V LFE3-35EA-9FTN256I 1.2V LFE3-35EA-6LFTN256I 1.2V LFE3-35EA-7LFTN256I 1.2V LFE3-35EA-8LFTN256I 1.2V LFE3-35EA-6FN484I 1.2V LFE3-35EA-7FN484I 1.2V LFE3-35EA-8FN484I 1.2V LFE3-35EA-9FN484I 1.2V LFE3-35EA-6LFN484I 1.2V LFE3-35EA-7LFN484I 1.2V LFE3-35EA-8LFN484I 1.2V LFE3-35EA-6FN672I 1.2V LFE3-35EA-7FN672I 1.2V LFE3-35EA-8FN672I 1.2V LFE3-35EA-9FN672I 1.2V LFE3-35EA-6LFN672I 1.2V LFE3-35EA-7LFN672I 1.2V LFE3-35EA-8LFN672I 1.2V Grade Power Package -6 STD Lead-Free ftBGA -7 STD Lead-Free ftBGA -8 STD Lead-Free ftBGA -9 STD Lead-Free ftBGA -6 LOW Lead-Free ftBGA -7 LOW ...

Page 133

... Voltage LFE3-70EA-6FN484I 1.2V LFE3-70EA-7FN484I 1.2V LFE3-70EA-8FN484I 1.2V LFE3-70EA-9FN484I 1.2V LFE3-70EA-6LFN484I 1.2V LFE3-70EA-7LFN484I 1.2V LFE3-70EA-8LFN484I 1.2V LFE3-70EA-6FN672I 1.2V LFE3-70EA-7FN672I 1.2V LFE3-70EA-8FN672I 1.2V LFE3-70EA-9FN672I 1.2V LFE3-70EA-6LFN672I 1.2V LFE3-70EA-7LFN672I 1.2V LFE3-70EA-8LFN672I 1.2V LFE3-70EA-6FN1156I 1.2V LFE3-70EA-7FN1156I 1.2V LFE3-70EA-8FN1156I 1.2V LFE3-70EA-9FN1156I 1.2V LFE3-70EA-6LFN1156I 1.2V LFE3-70EA-7LFN1156I 1.2V LFE3-70EA-8LFN1156I 1.2V Grade Power Package -6 STD Lead-Free fpBGA -7 STD Lead-Free fpBGA -8 STD Lead-Free fpBGA -9 STD Lead-Free fpBGA -6 LOW Lead-Free fpBGA -7 LOW ...

Page 134

... Voltage LFE3-95EA-6FN484I 1.2V LFE3-95EA-7FN484I 1.2V LFE3-95EA-8FN484I 1.2V LFE3-95EA-9FN484I 1.2V LFE3-95EA-6LFN484I 1.2V LFE3-95EA-7LFN484I 1.2V LFE3-95EA-8LFN484I 1.2V LFE3-95EA-6FN672I 1.2V LFE3-95EA-7FN672I 1.2V LFE3-95EA-8FN672I 1.2V LFE3-95EA-9FN672I 1.2V LFE3-95EA-6LFN672I 1.2V LFE3-95EA-7LFN672I 1.2V LFE3-95EA-8LFN672I 1.2V LFE3-95EA-6FN1156I 1.2V LFE3-95EA-7FN1156I 1.2V LFE3-95EA-8FN1156I 1.2V LFE3-95EA-9FN1156I 1.2V LFE3-95EA-6LFN1156I 1.2V LFE3-95EA-7LFN1156I 1.2V LFE3-95EA-8LFN1156I 1.2V Grade Power Package -6 STD Lead-Free fpBGA -7 STD Lead-Free fpBGA -8 STD Lead-Free fpBGA -9 STD Lead-Free fpBGA -6 LOW Lead-Free fpBGA -7 LOW ...

Page 135

... Voltage LFE3-150EA-6FN672I 1.2V LFE3-150EA-7FN672I 1.2V LFE3-150EA-8FN672I 1.2V LFE3-150EA-9FN672I 1.2V LFE3-150EA-6LFN672I 1.2V LFE3-150EA-7LFN672I 1.2V LFE3-150EA-8LFN672I 1.2V LFE3-150EA-6FN1156I 1.2V LFE3-150EA-7FN1156I 1.2V LFE3-150EA-8FN1156I 1.2V LFE3-150EA-9FN1156I 1.2V LFE3-150EA-6LFN1156I 1.2V LFE3-150EA-7LFN1156I 1.2V LFE3-150EA-8LFN1156I 1.2V Part Number Voltage 1 LFE3-150EA-6FN672ITW 1.2V 1 LFE3-150EA-7FN672ITW 1.2V 1 LFE3-150EA-8FN672ITW 1.2V 1 LFE3-150EA-6FN1156ITW 1.2V 1 LFE3-150EA-7FN1156ITW 1.2V 1 LFE3-150EA-8FN1156ITW 1.2V 1. Specifications for the LFE3-150EA-spFNpkgCTW and LFE3-150EA-spFNpkgITW devices, (where sp is the speed and pkg is the package), are the same as the LFE3-150EA-spFNpkgC and LFE3-150EA-spFNpkgI devices respectively, except as specified below. • ...

Page 136

... PCI: www.pcisig.com © 2012 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice. ...

Page 137

... DC and Switching Characteristics © 2012 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at www.latticesemi.com/legal. All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice. ...

Page 138

... Added footnote 2 to On-Chip Programmable Termination Options for Input Modes table. Corrected Per Quadrant Primary Clock Selection figure. Modified -8 Timing data for 1024x18 True-Dual Port RAM (Read-Before- Write, EBR Output Registers) Added ESD Performance table. LatticeECP3 External Switching Characteristics table - updated data for DIBGDDR W_PRI ...

Page 139

... Updated Single-Ended DC table. Updated TRLVDS table and figure. Updated Serial Data Input Specifications table. Updated HDMI Transmit and Receive table. Added LFE3-150EA “TW” devices and footnotes to the Commercial and Industrial tables. Added Read-Before-Write information. Added footnote #6 to Maximum I/O Buffer Speed table. ...

Page 140

... Added footnote to LVDS25 table. Corrected DDR section footnotes and references. Corrected Hot Socketing support from “top and bottom banks” to “top and bottom I/O pins”. Updated description for VTTx. Updated Secondary Clock/Control Sources text section. 7-4 Revision History LatticeECP3 Family Data Sheet Change Summary ...

Page 141

... Updated description for RX-CIDs to 150M in Table 3-9 Serial Input Data Specifications Updated Frequency to 150 Mbps in Table 3-11 Periodic Receiver Jitter Tolerance Specification Removed ESD Performance table and added reference to LatticeECP3 Product Family Qualification Summary document. sysCLOCK PLL TIming table, added footnote 4. External Reference Clock Specification table – removed reference to VREF-CM-AC and removed footnote for VREF-CM-AC ...

Related keywords