ATF15XX-DK3 Atmel, ATF15XX-DK3 Datasheet

KIT DEV FOR ATF15XX CPLD'S

ATF15XX-DK3

Manufacturer Part Number
ATF15XX-DK3
Description
KIT DEV FOR ATF15XX CPLD'S
Manufacturer
Atmel
Series
Logic Doubling®r
Type
CPLDr
Datasheets

Specifications of ATF15XX-DK3

Contents
CPLD Programmer Board, 44-TQFP, Socket Adapter Board, Download Cable, CD-ROMs. Samples and User Guide
Processor To Be Evaluated
ATF15xx
Interface Type
JTAG
Operating Supply Voltage
1.8 V, 3.3 V, 5 V
For Use With/related Products
ATF15xx Family of CPLD
For Use With
ATF15XXDK3-SAJ84 - ADAPTER SKT ATF15XXBE 84/84PLCCATF15XXDK3-SAA100 - ADAPTER SKT ATF15XXB PLCC/TQFPATF15XXDK3-SAJ44 - ADAPTER SKT ATF15XXBE 84/44PLCC
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
ATF15xx-DK3 Development Kit
..............................................................................................
User Guide

Related parts for ATF15XX-DK3

ATF15XX-DK3 Summary of contents

Page 1

... ATF15xx-DK3 Development Kit .............................................................................................. User Guide ...

Page 2

... ATF15xx-DK3 Development Kit User Guide Table of Contents Section 1 Introduction ........................................................................................... 1-1 1.1 CPLD Development/ Programmer Kit .......................................................1-1 1.2 Kit Contents ..............................................................................................1-1 1.3 Kit Features...............................................................................................1-1 1.3.1 CPLD Development/Programmer Board ............................................1-1 1.3.2 Logic Doubling CPLDs .......................................................................1-2 1.3.3 CPLD ISP Download Cable................................................................1-2 1.3.4 PLD Software CD-ROM ...

Page 3

... Create a Project using the “New Project Wizard” .....................................3-1 3.2 Add a Design File......................................................................................3-7 3.3 Synthesize the VHDL Design....................................................................3-7 3.4 Fit the Synthesized Design File ................................................................3-8 3.5 Program and Verify Design .....................................................................3-10 Section 4 Schematic Diagrams and VHDL File .................................................... 4-1 ATF15xx-DK3 Development Kit User Guide ...

Page 4

... CPLD Development/ Programmer Board ATF15xx-DK3 Development Kit User Guide The Atmel CPLD Development/Programmer Kit (P/N: ATF15xx-DK3 complete development system and an In-System Programming (ISP) programmer for the ATF15xx family of industry standard pin compatible Complex Programmable Logic Devices (CPLDs) with Logic Doubling and easy way to develop prototypes and evaluate new designs with an ATF15xx ISP CPLD ...

Page 5

... Atmel CPLD ISP Software (ATMISP) POF2JED Conversion Utility User Guides and Tutorials The Atmel CPLD Development/Programmer Board supports the following devices in all speed grades and packages (except 100-PQFP): ATF1502BE ATF1502AS/ASL ATF1504BE ATF1504AS/ASL ATF1508ASV/ASVL ATF1502ASV ATF1504ASV/ASVL ATF1508AS/ASL ATF15xx-DK3 Development Kit User Guide ...

Page 6

... System Requirements 1.6 Ordering Information ATF15xx-DK3 Development Kit User Guide The minimum hardware and software requirements to program an ATF15xx ISP CPLD designed using the ProChip Designer Software on the CPLD Development/Programmer Board through the Atmel CPLD ISP Software (ATMISP) V6.0 or later are: ® ...

Page 7

... POF2JED main window, click on HELP and then select Application Brief CONVERSION OPTIONS. For technical support on any Atmel PLD related issues, please contact Atmel PLD Appli- cations Group at: URL: www.atmel.com/dyn/products/support.asp FAQ: www.atmel.com/dyn/products/tech_support.asp?faq=y Hotline: 1-408-436-4333 Email : pld@atmel.com ATF15xx-DK3 Development Kit User Guide ...

Page 8

... Power Supply Header JTAG Cascade Jumper JTAG ISP Header ATF15xx-DK3 Development Kit User Guide Atmel CPLD Development/Programmer Board along with the Socket Adapter Board as shown in Figure 2-1 contains many features that designers will find very useful when developing, prototyping, or evaluating their ATF15xx CPLD design. Features such as push-button switches, LEDs, 7-segment displays, 2-MHz crystal oscillator, 5V/3 ...

Page 9

... ATF15xx’s I/O pins. Tables 2-1, 2-2, 2-3, and 2-4 show the connections for 7-segment displays to the ATF15xx in different package types. The circuit schematic of the displays and jumpers is shown in Figure 2-2. Figure 2-2. Circuit Diagram of 7-segment Display and Jumpers ATF15xx-DK3 Development Kit User Guide ...

Page 10

... ATF15xx-DK3 Development Kit User Guide Table 2-1. Connections of ATF15xx 44-pin TQFP to 7-segment Displays DSP/Segment PLD Pin # 1/A 27 1/B 33 1/C 30 1/D 21 1/E 18 1/F 23 1/G 20 1/DOT 31 2/A NC 2/B NC 2/C NC 2/D NC 2/E NC 2/F NC 2/G NC 2/DOT NC Table 2-2. Connections of ATF15xx 44-pin PLCC to 7-segment Displays DSP/Segment ...

Page 11

... DSP/Segment PLD Pin # 3/A 13 3/B 19 3/C 16 3/D 8 3/E 83 3/F 6 3/G 92 3/DOT 20 4/A 100 4/B 94 4/C 97 4/D 81 4/E 76 4/F 80 4/G 79 4/DOT 93 ATF15xx-DK3 Development Kit User Guide ...

Page 12

... LEDs with Selectable Jumpers ATF15xx-DK3 Development Kit User Guide Atmel CPLD Development/Programmer Board has eight individual LEDs, which allow designers to display the output signals from the user I/Os of the ATF15xx CPLD. These eight LEDs are labeled LED1 to LED8 on the Atmel CPLD Development/Programmer Board ...

Page 13

... Figure 2-4 on page 2 circuit diagram of the push-button switch and selectable jumper. Tables 2-9, 2-10, 2-11, and 2-12 show the connections of these eight push-button switches to the CPLD I/O pins in the different package types. PLD Pin # PLD Pin # ATF15xx-DK3 Development Kit User Guide ...

Page 14

... ATF15xx-DK3 Development Kit User Guide Figure 2-4. Circuit Diagram of the Push-button Switches and Jumpers for the I/O Pins Table 2-9. Connections of ATF15xx 44-pin TQFP to the Switches for I/O Pins Push Button # SW1 SW2 SW3 SW4 SW5 SW6 SW7 SW8 Table 2-10. Connections of ATF15xx 44-pin PLCC to the Switches for I/O Pins ...

Page 15

... If the selectable jumper is not set, the corresponding dedicated input pin of the CPLD can be considered a “no connect” (NC) pin. Table 2-13 on page 2-9 PLD Pin # PLD Pin # ATF15xx-DK3 Development Kit User Guide ...

Page 16

... MHz Oscillator and Clock Selection Jumper ATF15xx-DK3 Development Kit User Guide shows the pin numbers of the GCLR and OE1 dedicated input pins of the ATF15xx in all the different available package types. Figure 2-5. Circuit Diagram of Push-button Switches and Selectable Jumpers for GCLR and OE1 Table 2-13 ...

Page 17

... ATF15xx-DK3 board. The Power Supply Switch, labeled POWER SWITCH, can be switched to the ON or OFF position, which is used to turn on or off the power of the ATF15xx-DK3 board respectively. It allows the 9V DC voltage at the Power Supply Jack to pass to the volt- age regulators when the ON position ...

Page 18

... JTAG-IN into the JTAG-OUT position in order to utilize this available feature. To create a JTAG daisy chain using multiple ATF15xx-DK3 boards, the TDO Selection Jumper, labeled JP-TDO, must be set to the appropriate position. For all the devices in the daisy chain except the last device, this jumper must be set to the “TO NEXT DEVICE” ...

Page 19

... CPLD Development/Programmer Board. They are used in conjunction with the ATF15xx-DK3 CPLD Development/Programmer Board to evaluate/program Atmel ATF15xx ISP CPLDs with different package types. At press time, there are four Socket Adapter Boards available for the ATF15xx-DK3 covering the 44-TQFP, 44-PLCC, 84- 44-pin 84-pin PLCC ...

Page 20

... Each socket adapter board contains a socket for the Atmel ATF15xx device and with male headers on the bottom side, labeled JP1 and JP2. The headers on the bottom side mate with the female headers on the ATF15xx-DK3 board, labeled JP4 and JP3. The four 7-segment displays, push-button switches, JTAG port signals, oscillator, VCCINT, VCCIO, and GND on the CPLD Development/Programmer Board are connected to the ATF15xx device on the Socket Adapter Board through these two sets of connectors ...

Page 21

... Figure 2-11. Atmel ISP Download Cable 10-pin Female Header Pinout Note: Your circuit board must supply Vcc and GND to the Atmel CPLD ISP Cable through the 10-pin male header. When programming ATF15xxBE device, VCCIO must be used for the ISP Cable. Color Stripe ATF15xx-DK3 Development Kit User Guide ...

Page 22

... This tutorial will guide you through a complete VHDL design cycle for the Atmel ATF15xx CPLD. It provides step-by-step procedure to go through each phase of the design cycle from design entry, logic synthesis, device fitting, in-system programming, and finally verifying the design on the Atmel ATF15xx-DK3 CPLD Development/Pro- gramming Board. Note: To complete this tutorial, ProChip Designer V4 ...

Page 23

... Click on Project > New or double-click on the New Project shortcut button to launch the New Project Wizard. (2) Click to create new project 3. Click on the Next button to start the project file creation process. 4. Click on the Browse button to open the browser window. (3) Click Next to start ATF15xx-DK3 Development Kit User Guide ...

Page 24

... ATF15xx-DK3 Development Kit User Guide 5. Use C:\PROCHIP\DESIGNS\VHDL as the directory of the project. 6. Enter DEV_KIT.APJ as the project filename. The extension of a project file must be .APJ. Note: The name and directory of the design project is specified in this window. All design, simulation, and other project files must be placed in this project direc- tory ...

Page 25

... VHDL design synthesized through the Altium PeakFPGA VHDL – Mentor Graphics VHDL design synthesized through Mentor Graphics Precision Schematic – Altium Schematic design compiled through Altium Protel 99SE 9. Select Done with parts so that there will be only one device in this project. ATF15xx-DK3 Development Kit User Guide ...

Page 26

... ATF15xx-DK3 Development Kit User Guide On the other hand, users can select Add more parts to include more parts to the cur- rent project directory. (9) Select Done with parts 10. Click the Finish button to finish the New Project Wizard and the project creation process. This closes the New Project Wizard and opens the ProChip Designer window. The sources in the project are shown in the left window ...

Page 27

... CPLD Design Flow Tutorial 3-6 3605B–PLD–05/06 11. Click on the ATF1502BE-7AU44 device icon to view the Design Flow window. Project Sources window Message window Project File window Information dialog box (11) Click on the device icon Design Flow window ATF15xx-DK3 Development Kit User Guide ...

Page 28

... Atmel ATF15xx-DK3 CPLD Development/Programmer Board to generate two scrolling “0” characters. This design will also pass the states of the I/O push-button switches (SW1-SW4) to the LEDs at LED1-LED4 on the ATF15xx-DK3 CPLD Development/Programmer Board. For details, please review the VHDL code. ...

Page 29

... Precision tool will produce an EDIF output file (with .EDF extension). An EDIF file contains the netlist of the optimized and minimized logic equa- tions. We now need to map this netlist into a specific Atmel CPLD architecture using the Atmel Fitter. (1) Open the Logic Synthesis window ATF15xx-DK3 Development Kit User Guide ...

Page 30

... ATF15xx-DK3 Development Kit User Guide 1. You can now proceed to the device fitter portion of the design flow by clicking on the Atmel Fitter button. You can either use the default options or specify fitter properties. ProChip Designer will automatically select the EDIF file (*.EDF) associated to the current design project and the tool type ...

Page 31

... LEDs on the CPLD Development/Programmer Board. You will need to follow the steps below to setup the ATMISP software (V6.0 or latest version) in order to program the ATF1502BE 44-pin TQFP on the ATF15xx-DK3 CPLD Development/Programmer Board. 3/4 ...

Page 32

... ATF15xx-DK3 Development Kit User Guide 1. To create a new chain file, the ATMISP Software first needs to be launched either through the Program Chip button in the ProChip Designer window, the ATMISP desktop icon or the Start > Programs > Atmel-ISP menu. Note: If ATMISP is launched through ProChip Designer, then the appropriate chain ( ...

Page 33

... Board to program the ATF1502BE-7AU44 through the CPLD ISP cable. 7. Connect the DB25 side of the Atmel CPLD ISP MV cable (Revision 6) to the PC’s parallel port and the 10-pin header side of the cable to the Atmel ATF15xx-DK3 CPLD Development Board as shown Figure 2-10 on page 2-14. ...

Page 34

... LED jumpers (JPL1, JPL2, JPL3, and JPL4) and push- button jumpers (JPS8, JPS7, JPS6, and JPS5), you can press SW8, SW7, SW6, or SW5 to light up LEDs 1-4. If the result is displayed correctly on the ATF15xx-DK3 CPLD Development/Program- mer Board, then you have successfully completed this tutorial. CPLD Design Flow Tutorial ...

Page 35

... ATF15xx-DK3 Development Kit User Guide Schematic Diagrams and VHDL File Section 4 4-1 3605B–PLD–05/06 ...

Page 36

... D3C RDSP33 b D3B RDSP32 a D3A RDSP31 g D2G RDSP27 f D2F RDSP26 e D2E RDSP25 d D2D RDSP24 c D2C RDSP23 b D2B RDSP22 a D2A RDSP21 g D1G RDSP17 f D1F RDSP16 e D1E RDSP15 d D1D RDSP14 c D1C RDSP13 b D1B RDSP12 a D1A RDSP11 ADJ 1 ADJ ATF15xx-DK3 Development Kit User Guide ...

Page 37

... Figure 4-2. 44-pin TQFP Socket Adapter Board Schematic Diagram ATF15xx-DK3 Development Kit User Guide I/O I/O 22 PIN34 34 GCLK3 I/O 21 PIN35 35 GND I/O GND 36 GCLK1 20 I/O PIN37 37 OE1 19 I/O PIN38 38 18 GCLR VCC 17 PIN39 39 I/OE2/GCLK2 GND 16 PIN40 40 VCC I/O VCCINT 41 I/O 15 I/O ...

Page 38

... PIN43 43 OE1 25 I/O 24 PIN44 44 GCLR VCC PIN1 1 23 I/OE2/GCLK2 GND 22 PIN2 2 VCC I/O VCCINT 3 21 I/O I/O 20 PIN4 4 I/O I/O PIN5 5 19 I/O I/O 18 PIN6 6 PIN28 PIN27 PIN26 PIN25 PIN24 VCCINT GND PIN21 PIN20 PIN19 PIN18 ATF15xx-DK3 Development Kit User Guide ...

Page 39

... Figure 4-4. 84-pin PLCC Socket Adapter Board Schematic Diagram ATF15xx-DK3 Development Kit User Guide I/O PIN75 75 I/O PIN76 76 I/O PIN77 77 VCC_IO VCCIO 78 I/O PIN79 79 I/O PIN80 80 I/O PIN81 81 GND GND 82 INPUT/GCLK1 PIN83 83 INPUT/OE1 PIN84 84 INPUT/GCLRn VCC_INT PIN1 1 INPUT/OE2/GCLK2 PIN2 2 VCC_INT VCCINT ...

Page 40

... GND 43 GND I/O 42 PIN42 I/O 41 PIN41 I/O 40 PIN40 VCCINT 39 VCCINT GND 38 GND I/O 37 PIN37 I/O 36 PIN36 I/O 35 PIN35 VCCIO 34 VCCIO I/O 33 PIN33 I/O 32 PIN32 I/O 31 PIN31 I/O 30 PIN30 I/O 29 PIN29 I/On 28 PIN28 I/On 27 PIN27 GND 26 GND ATF15xx-DK3 Development Kit User Guide ...

Page 41

... Architecture ------------------------------------------------------------------------------------ architecture LOGIC of f02_44TQFP is ------------------------------------------------------------------------------------ -- Internal Signal Declaration ------------------------------------------------------------------------------------ signal CNT1: unsigned(15 downto 0); signal iCLK : std_logic; ATF15xx-DK3 Development Kit User Guide Schematic Diagrams and VHDL File -- 2MHz clock (positive edge) -- 2MHz clock (negative edge) -- Register reset 4-7 3605B–PLD–05/06 ...

Page 42

... DSP_CTL : process (CNT1(15), GCLR) begin if (GCLR = '0') then DSP1 <= (others => '0'); DSP4 <= (others => '0'); elsif rising_edge(CNT1(15)) then DSP1(0) <= not DSP1(5); DSP1(1) <= DSP1(0); DSP1(2) <= DSP1(1); DSP1(3) <= DSP1(2); DSP1(4) <= DSP1(3); DSP1(5) <= DSP1(4); DSP4(0) <= not DSP4(5); 4-8 3605B–PLD–05/06 ATF15xx-DK3 Development Kit User Guide ...

Page 43

... DSP4(1) <= DSP4(0); DSP4(2) <= DSP4(1); DSP4(3) <= DSP4(2); DSP4(4) <= DSP4(3); DSP4(5) <= DSP4(4); end if; end process; end architecture LOGIC; ATF15xx-DK3 Development Kit User Guide Schematic Diagrams and VHDL File 4-9 3605B–PLD–05/06 ...

Page 44

... Disclaimer: The information in this document is provided in connection with Atmel products. No license, express or implied, by estoppel or otherwise, to any intellectual property right is granted by this document or in connection with the sale of Atmel products. EXCEPT AS SET FORTH IN ATMEL’S TERMS AND CONDI- TIONS OF SALE LOCATED ON ATMEL’S WEB SITE, ATMEL ASSUMES NO LIABILITY WHATSOEVER AND DISCLAIMS ANY EXPRESS, IMPLIED OR STATUTORY WARRANTY RELATING TO ITS PRODUCTS INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTY OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT ...

Related keywords