5AGXBA1D4F31C5N Altera Corporation, 5AGXBA1D4F31C5N Datasheet

no-image

5AGXBA1D4F31C5N

Manufacturer Part Number
5AGXBA1D4F31C5N
Description
FPGA - Field Programmable Gate Array FPGA - Arria V GX 2830 LABS 416 IOs
Manufacturer
Altera Corporation
Series
Arria V GXr
Datasheet

Specifications of 5AGXBA1D4F31C5N

Rohs
yes
Number Of Logic Blocks
2830
Number Of I/os
416
Maximum Operating Frequency
800 MHz
Operating Supply Voltage
1.2 V to 1.8 V
Maximum Operating Temperature
+ 70 C
Mounting Style
SMD/SMT
Package / Case
FBGA-896
Distributed Ram
8463 kbit
Minimum Operating Temperature
0 C

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
5AGXBA1D4F31C5N
Manufacturer:
ALTERA
0
101 Innovation Drive, San Jose, CA 95134
www.altera.com
Key Advantages of Arria V Devices
and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other
words and logos identified as trademarks or service marks are the property of their respective holders as described at
www.altera.com/common/legal.html. Altera warrants performance of its semiconductor products to current specifications in accordance with
Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes
no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly
agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published
information and before placing orders for products or services.
2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words
2013.01.11
AV-51001
Table 1: Key Advantages of the Arria V Device Family
Lowest static power in its class
Improved logic integration and
differentiation capabilities
Increased bandwidth capacity
Hard processor system (HPS)
with integrated ARM
Cortex
Lowest system cost
The Arria
the lowest power for 6 gigabits per second (Gbps) and 10 Gbps applications, to the highest mid-range FPGA
bandwidth 12.5 Gbps transceivers.
The Arria V devices are ideal for power-sensitive wireless infrastructure equipment, 20G/40G bridging,
switching, and packet processing applications, high-definition video processing and image manipulation,
and intensive digital signal processing (DSP) applications.
-A9 MPCore processor
Advantage
®
V device family consists of the most comprehensive offerings of mid-range FPGAs ranging from
Subscribe
®
Feedback
Built on TSMC's 28 nm process technology and includes an abundance of
hard intellectual property (IP) blocks
Power-optimized MultiTrack routing and core architecture
Up to 50% lower power consumption than the previous generation device
Lowest power transceivers of any midrange family
8-input adaptive logic module (ALM)
Up to 38.38 megabits (Mb) of embedded memory
Variable-precision digital signal processing (DSP) blocks
Serial data rates up to 12.5 Gbps
Hard memory controllers
Tight integration of a dual-core ARM Cortex-A9 MPCore processor, hard
IP, and an FPGA in a single Arria V system-on-a-chip (SoC) FPGA
Supports over 128 Gbps peak bandwidth with integrated data coherency
between the processor and the FPGA fabric
Requires as low as four power supplies to operate
Available in thermal composite flip chip ball-grid array (BGA) packaging
Includes innovative features such as Configuration via Protocol (CvP),
partial reconfiguration, and design security
Supporting Feature
Arria V Device Overview
9001:2008
Registered
ISO

Related parts for 5AGXBA1D4F31C5N

5AGXBA1D4F31C5N Summary of contents

Page 1

... Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at www ...

Page 2

... High-performance FPGA fabric Internal memory blocks Altera Corporation TSMC's 28-nm process technology: Arria V GX, GT, SX, and ST—28-nm low power (28LP) process Arria V GZ—28-nm high performance (28HP) process Lowest static power in its class (less than 1.2 W for 500K logic elements (LEs) at 85°C junction under typical conditions) 0 ...

Page 3

... LC oscillator ATX transmitter PLLs (Arria V GZ only) 1.6 Gbps LVDS receiver and transmitter 800 MHz/1.6 Gbps external memory interface On-chip termination (OCT) 1 3.3 V support . CCIO Arria V Device Overview Description ® ® (PCIe ) Gen2 (x1, x2, or x4) and Gen1 (x1, x2, ® (SRIO) PCS 3 Altera Corporation ...

Page 4

... HPS (Arria V SX and ST devices only) Configuration Altera Corporation Hard memory controller-up to 1.066 Gbps Soft memory controller-up to 1.6 Gbps 600 Mbps to 12.5 Gbps integrated transceiver speed Less than 105 mW per channel at 6 Gbps, less than 165 mW per channel at 10 Gbps, and less than 170 mW per channel at 12.5 Gbps ...

Page 5

... F : FineLine BGA (FBGA) Operating Temperature C : Commercial (T = 0° 85° Industrial (T = -40° 100° Optional Suffix Indicates specific device options or shipment method N : Lead-free packaging ES : Engineering sample FPGA Fabric Speed Grade 3 (fastest Altera Corporation ...

Page 6

... For the number of LVDS channels in each package, refer to the in Arria V Devices chapter the F896 package, the PCIe hard IP block on the right side of the Arria V GX A5, A7, B1, and B3 devices support x1 for Gen1 and Gen2 data rates. Altera Corporation 156 ...

Page 7

... Package Code options or shipment method 27 : 672 pins N : Lead-free packaging 31 : 896 pins ES : Engineering sample 35 : 1,152 pins FPGA Fabric 40 : 1,517 pins Speed Grade 3 (fastest) 5 Member Code C7 D3 242 362 91,680 136,880 366,720 547,520 7 F1517 (40 mm) XCVR — 504 190,240 760,960 Altera Corporation ...

Page 8

... Chip-to-chip connections only. For information about 10 Gbps SFF-8431 compliance, contact Altera. 9 The number of GPIOs does not include transceiver I/Os. In the Quartus II software, the number of user I/Os includes transceiver I/Os. 10 For the number of LVDS channels in each package, refer to the in Arria V Devices chapter. Altera Corporation C3 M10K 10,510 MLAB 961 396 792 ...

Page 9

... L : Low-power device 40 : 1,517 pins FPGA Fabric Speed Grade 3 (fastest) 4 Member Code E3 E5 360 400 135,840 150,960 543,360 603,840 19,140 28,800 4,245 4,718 1,044 1,092 2,088 2,184 414 674 9 E7 450 169,800 679,200 34,000 5,306 1,139 2,278 24 36 674 Altera Corporation ...

Page 10

... Family Signature 5A : Arria V Family Variant SX : SoC FPGA with 6-Gbps transceivers Member Code B3 : 350K logic elements B5 : 462K logic elements 13 For the number of LVDS channels in each package, refer to the in Arria V Devices chapter. Altera Corporation E1 99 108 1 H780 F1152 (29 mm) (35 mm) XCVR GPIO 12 ...

Page 11

... Dual-core F1152 F1517 (40 mm) XCVR FPGA HPS I/O GPIO 210 18 540 210 18 540 High-Speed Differential I/O Interfaces and DPA 11 B5 462 174,340 697,360 22,820 2,658 1,068 2,136 528 216 120 136 Dual-core XCVR 210 30 210 30 Altera Corporation ...

Page 12

... FPGA PLL HPS PLL Transceiver 17 The number of PLLs includes general-purpose fractional PLLs and transceiver fractional PLLs. 18 Chip-to-chip connections only. For information about 10 Gbps SFF-8431 compliance, contact Altera. Altera Corporation Transceiver Count Package Type Maximum channels F : FineLine BGA (FBGA ...

Page 13

... Member Code D3 540 210 120 136 Dual-core Dual-core F1517 (40 mm) XCVR FPGA HPS I/O 6 Gbps 10 GPIO Gbps 18 8 540 210 18 8 540 210 High-Speed Differential I/O Interfaces and DPA 13 D5 540 210 120 136 XCVR 6 Gbps 10 Gbps Altera Corporation ...

Page 14

... Arria V devices use ALM as the basic building block of the logic fabric. The ALM, as shown in following figure, uses an 8-input fracturable look-up table (LUT) with four dedicated registers to help improve timing closure in register-rich designs and achieve an even higher design packing capability than previous generations. Altera Corporation Member Code F672 ...

Page 15

... Adaptive 5 LUT Full Adder Embedded Memory Capacity in Arria V Devices Multiplier Size (Bit) Three Two Two with accumulate One Arria V Device Overview Reg Reg Reg Reg on page standalone-17. DSP Block Resource Altera Corporation ...

Page 16

... GZ E5 1,092 E7 1,139 B3 809 Arria 1,068 D3 809 Arria 1,068 Altera Corporation Multiplier Size (Bit) One Independent Input and Output Multiplications Operator Multi Mul Mul- plier tiplier tiplier 720 480 240 1,188 792 396 1,800 1,200 ...

Page 17

... Altera Corporation 17 Total RAM Bit (Kb) 8,463 11,471 12,973 15,108 16,952 19,358 23,072 27,046 11,471 15,108 19,358 27,046 14,294 23,385 33,518 39,306 ...

Page 18

... Altera's global, quadrant, and peripheral clock structure. This clock structure is supported by dedicated clock input pins and fractional PLLs. Note: To reduce power consumption, the Quartus II software identifies all unused sections of the clock network and powers them down. Altera Corporation M20K M10K Block RAM Bit ...

Page 19

... Arria V devices contain PCIe hard IP that is designed for performance, ease-of-use, and increased functionality. The PCIe hard IP consists of the MAC, data link, and transaction layers. Arria V Device Overview OCT) for all I/O banks with OCT calibration to limit the termination T 19 Arria V Device Overview ) and programmable OD Altera Corporation ...

Page 20

... II+, QDR II, and DDR II+ SRAM devices, and RLDRAM II devices for maximum flexibility. Note: DDR3 SDRAM leveling is supported only in Arria V GZ devices. External Memory Performance Table 18: External Memory Interface Performance in Arria V Devices Interface DDR3 SDRAM DDR2 SDRAM Altera Corporation FPGA Device Host CPU PCIe Link Root Complex Local Local ...

Page 21

... Arria V Device Overview Soft Controller (MHz) Arria V GX, GT, SX, and Arria 400 — 400 400 400 400 400 400 400 400 HPS Hard Controller (MHz) 533 533 400 400 333 Altera Corporation 21 — 667 533 533 500 500 333 333 — — ...

Page 22

... The following figures are graphical representations of a top view of the silicon die, which corresponds to a reverse view for flip chip packages. Different Arria V devices may have different floorplans than the ones shown in the figures. Figure 9: Device Chip Overview for Arria V GX and GT Devices Altera Corporation I/O, LVDS, and Memory Interface Hard Memory Controller Hard Memory Controller ...

Page 23

... Core Logic Fabric and MLABs M20K Internal Memory Blocks Variable-Precision DSP Blocks Hard Transceiver PCS PMA Hard Transceiver PCS PMA Hard Transceiver PCS PMA Transceiver Individual Channels Distributed Memory Core Logic Fabric and MLABs M10K Internal Memory Blocks Variable-Precision DSP Blocks Altera Corporation ...

Page 24

... Gbps to 10.3125 Gbps—supported through dedicated bit interface that bypass the PCS hard IP and connects the PMA directly to the core logic. In Arria V GZ, this is supported in the transceiver PCS hard IP. Altera Corporation Capability Arria V GX, GT, SX, and ST devices—Driving capability at 6.5536 Gbps with channel loss Arria V GZ devices— ...

Page 25

... Phase compensation FIFO Rate match FIFO Word aligner 8B/10B decoder Byte deserializer Phase compensation FIFO XAUI state machine for realigning four channels Deskew FIFO circuitry Byte deserializer Phase compensation FIFO Word aligner 8B/10B decoder Byte deserializer Phase compensation FIFO RX deterministic latency Altera Corporation ...

Page 26

... Table 22: Transceiver PCS Features for Arria V GZ Devices Protocol Custom PHY GPON Custom 10G PHY PCIe Gen1 (x1, x4, x8) PCIe Gen2 (x1, x4, x8) PCIe Gen3 (x1, x4, x8) 10GbE Interlaken Altera Corporation Data Rates Transmitter Data Path Features (Gbps) 0.6 to 9.80 Phase compensation FIFO 1.25 and 2.5 Byte serializer 8B/10B encoder Bit-slip Channel bonding 9.98 to 12.5 TX FIFO ...

Page 27

... Word aligner Deskew FIFO Rate match FIFO 8B/10B decoder Byte deserializer Byte ordering XAUI state machine for realigning four channels Word aligner Deskew FIFO Rate match FIFO 8B/10B decoder Byte deserializer Byte ordering SRIO V2.1-compliant x2 and x4 deskew state machine Altera Corporation ...

Page 28

... DMA controller. For modules without an integrated DMA controller, an additional DMA controller module provides up to eight channels of high-bandwidth data transfers. Peripherals that communicate off-chip are multiplexed with other peripherals at the HPS pin level. This allows you to choose which peripherals to interface with other devices on your PCB. Altera Corporation Lightweight FPGA-to-HPS HPS-to-FPGA ...

Page 29

... Although the FPGA fabric and HPS are on separate power domains, the HPS must remain powered up during operation while the FPGA fabric can be powered up or down as required. Arria V Device Overview ™ ) specifications, consist of the following bridges: 29 Arria V Device Overview ® ) Advanced ® Altera Corporation ...

Page 30

... Partial reconfiguration is supported through the FPP x16 configuration interface. You can seamlessly use partial reconfiguration in tandem with dynamic reconfiguration to enable simultaneous partial reconfiguration of both the device core and transceivers. Altera Corporation ® , and other operating systems will be available for the SoC FPGAs. For more information Altera sales team ...

Page 31

... Yes — Yes Yes — Yes Yes — 29 Yes Yes Yes Yes Yes — Yes Yes Yes — — — 29 Yes Yes Yes Yes Yes — Altera Corporation 31 Remote System Update Yes — Parallel flash loader — — Parallel flash loader ...

Page 32

... November 2012 2012.11.19 October 2012 July 2012 June 2012 Altera Corporation Version Added the L optional suffix to the Arria V GZ ordering code for the -I3 speed grade. Added a note about the power-up sequence requirement if you plan to migrate your design from the Arria and A7, and Arria devices to other Arria V devices ...

Page 33

... Table 1–9, and Table 1–10. Added “SoC FPGA with HPS” section. Updated “Clock Networks and PLL Clock Sources” and “Ordering Information” sections. Updated Figure 1–5. Added Figure 1–6. Minor text edits. 1.0 Initial release. Arria V Device Overview Changes Altera Corporation 33 ...

Related keywords