EVB-B1+ WIZnet, EVB-B1+ Datasheet

no-image

EVB-B1+

Manufacturer Part Number
EVB-B1+
Description
Development Boards & Kits - ARM W3150A+EVAL BOARD BASED ON AVR
Manufacturer
WIZnet
Datasheet

Specifications of EVB-B1+

Rohs
yes
Product
Evaluation Boards
Interface Type
RS-232, SPI
Operating Supply Voltage
3.3 V, 5 V
Data Bus Width
8 bit
Dimensions
50 mm x 40 mm
Processor Series
ATmega128
+
EVB-B1
User’s Manual
(Version 1.1)
©2006 WIZnet Co., Inc. All Rights Reserved.
☞ For more information, visit our website at
http://www.wiznet.co.kr

Related parts for EVB-B1+

EVB-B1+ Summary of contents

Page 1

... EVB-B1 ©2006 WIZnet Co., Inc. All Rights Reserved. ☞ For more information, visit our website at + User’s Manual (Version 1.1) http://www.wiznet.co.kr ...

Page 2

... Document History Information Revision Data Ver. 1.0 OCTOBER , 2006 Ver. 1.1 November 27, 2006 + EVB-B1 User’s Manual Description Original Document Modify chapter 3.2.1 & 3.2.2 for AVR GCC 3.2.6 ii ...

Page 3

... WIZnet’s Online Technical Support If you have something to ask about WIZnet Products, Write down your question on Q&A Board in WIZnet website (www.wiznet.co.kr). WIZnet Engineer will give an answer as soon as possible. + EVB-B1 User’s Manual iii ...

Page 4

... COPYRIGHT NOTICE Copyright 2006 WIZnet, Inc. All Rights Reserved. Technical Support: support@wiznet.co.kr Sales & Distribution: sales@wiznet.co.kr General Information: info@wiznet.co.kr For more information, visit our website at + EVB-B1 User’s Manual http://www.wiznet.co.kr iv ...

Page 5

... Overview................................................................................................................................ 1 1.1. Package ................................................................................................................. 1 1.2. Feature ................................................................................................................... 2 1.2.1. H/W Features.................................................................................2 F/W Feature ..................................................................................2 1.2.2. 2. Getting Started....................................................................................................................... 3 2.1. System Configuration ............................................................................................. 3 EVB B/D Layout & Configuration ........................................................3 2.1.1. 2.2. PC Programs Install ............................................................................................... 5 2.2.1. Development Program Install.............................................................5 EVB B/D Test PC Program Install .......................................................5 2.2.2. 2.3. Quick Start.............................................................................................................. 6 2.4. EVB B/D Test.......................................................................................................... 8 Manage Program ............................................................................8 2.4.1. EVB B/D Test Applications .............................................................. 14 2.4.2. 2.5. Troubleshooting Guide ......................................................................................... 19 Ping ........................................................................................... 19 2.5.1. Misc. .......................................................................................... 19 2.5.2. 3. Programmer’s Guide............................................................................................................ 20 3.1. ...

Page 6

... NM7010B 4.4. PAL ..................................................................................................................... 103 IO Define .................................................................................. 103 4.4.1. External SRAM Area.................................................................... 104 4.4.2. LCD Area .................................................................................. 104 4.4.3. + Area ........................................................................... 105 4.4.4. W3150A 4.5. Parts List............................................................................................................. 107 MB-EVB-X2 Parts List.................................................................. 107 4.5.1. PM-A1 Parts List......................................................................... 107 4.5.2. + ................................................................................. 107 4.5.3. NM7010B 4.6. Physical Specification......................................................................................... 108 Power Consumption .................................................................... 108 4.6.1. + EVB-B1 User’s Manual MODULE ..................................................................... 98 vi ...

Page 7

... EVB B UMPER ETTING <F 2.2: S JP19 ~ JP22 >.............................................................................................................4 IG ETTING <F 2.3: JP11 UMPER ETTING <F 2.4: JP18 UMPER ETTING <F 2.5 : EVB B/D T LCD D IG EXT <F 2. UTPUT OF ERMINAL <F 2.7: EVB B ING EPLY <F 2.8 ANAGE ROGRAM XECUTION <F 2. > ....................................................................................................................9 IG ETWORK ONFIG <F 2.10 OURCE DDRESS <F 2.11: MAC S IG ...

Page 8

... IG DNS PARSE RESPONSE <F 3.43 DNS PARSE QUESTION <F 3.44: _ ()> ......................................................................................................................89 IG PARSE NAME <F 3.45: DNS ESSAGE OMPRESSION <F 4.1: EVB B LOCK IAGRAM <F 4.2: PM-A1 MODULE D IG IMENSION + EVB-B1 User’s Manual >.........................................................................................................56 ()>......................................................................................................62 ()> ........................................................................................................63 () & ()>.......................................................63 GET HTTP PARSE VALUE > .............................................................................................64 > .............................................................................................65 >........................................................................................................67 >....................................................................................................68 ...

Page 9

... I C ABLE IST OF TEMS ONTAINED IN THE <T 1 ABLE ONTENTS OF OFTWARE <T 2 ABLE ERMINAL ROPERTIES <T 2-2 : EVB B/D D ABLE EFAULT <T 2 ABLE ENU OF ETWORK <T 2-4 : EVB B/D D ABLE EFAULT <T 2 ABLE ENU OF HANNEL + <T 2-6 : W3150A C ABLE HANNEL < ABLE PPLICATION EFAULT <T 3-1: D MAP D ABLE EVICE EFINITION <T 3-2: AVR I ...

Page 10

... W B ’ HTTP R ABLE EB ROWSER S <T 3-27: HTTP M F ABLE ESSAGE <T 3-28: HTTP MESSAGE BETWEEN EVB B/D AND WEB BROWSER> ..............................58 ABLE <T 3-29 ABLE YSTEM NVIRONMENT <T 3-30: “ ABLE ST HTTP REQUEST <T 3-31 ABLE EFERENCE UNCTIONS IN WEB <T 3-32: DHCP M D ABLE ESSAGE <T 3-33: DHCP M O ABLE ESSAGE <T 3-34: DHCP C S ABLE ...

Page 11

... Overview + EVB-B1 (referred to as “EVB B/D or EVB” from here on) is W3150A 1.1. Package When purchasing EVB B/D, please make sure you have all the following contents. <Table 1-1: List of Items Contained in the EVB B/D> EVB B/D Accessory + EVB-B1 DOCs NM7010B + W3150A The contents of Software CD could be changed by version. Please check “ReadMe.txt” of CD. ...

Page 12

... PHY : RTL8201CP(RealTek), 10/100 BaseT(X) Auto Negotiation - MagJack : RD1-125BAG1A (UDE) , Integrated Transformer(1:1) Link & ACT LEDs 1.2.2. F/W Feature The F/W of EVB B/D is made up of two parts. Manager mode Network Config : MAC, Source IP, G/W IP, S/N, DNS IP Setup - Channel Config : W3150A - Ping Test : Ping Request Test with DNS ...

Page 13

... For testing the functions of the EVB B/D and developing applications, the EVB B/D should be configured as shown below. First, the EVB B/D is connected to the PC using the crossed UTP Cable (for data transmission) and the Serial Cable (for monitoring). Second, the dip switch and jumper should be set as below ...

Page 14

... SPI_EN signal of W3150A ④ Power Consumption Checking Point : JP15, JP16, JP17 To measure power consumption of EVB B/D, there is checking point for each power supply. The soldered part should be removed and measurement is performed by Current Meter. © Copyright 2006 WIZnet Co., Inc. All rights reserved. ...

Page 15

... ROM File Maker Program is a utility program that provides convenience in using simple ‘ROM File System’ for EVB B/D. The reason that ROM File Maker Program is used in EVB B access Web Pages for Web Server Test Application as ‘ROM File System’. Refer to “ROM File Maker Manual Vx.x.pdf” for further instruction on installation and ROM File Maker Program 2 ...

Page 16

... Following items should be checked upon power on Check lighting on power LED(D3) of EVB B/D when powering on - Check if LEDs of D1 and D2 blink three times by turns. - Check if Text LCD display of EVB B/D outputs in the way shown in <Fig 2.5> and shown in <Fig - 2.6> on the Terminal Program © Copyright 2006 WIZnet Co., Inc. All rights reserved. Chapter 2.1 Chapter 2.2.2.1 < ...

Page 17

... After setting the Server IP Address as “192.168.0.2” and port Number as “5000” by clicking [TCP>>Connect] Menu, then click,[TCP>>Send] Menu or [Ts],[Tr],[∞] Icons. ⑨ Test the loopback with any file or packet between “AX1” Program and EVB B/D. © Copyright 2006 WIZnet Co., Inc. All rights reserved. ...

Page 18

... Application Program for W3150A 2.4.1. Manage Program Manage Program is a program that is executed upon receiving character ‘M’ or ‘m’ from the terminal program within 7 seconds when doing the manual reset of EVB B/D and EVB B/D power on. This program sets up the + channel application of W3150A 2.4.1.1. ...

Page 19

... Initialization of the system with the default value. Refer to <Table 2-2> ‘M’ or ‘m’ Sets up MAC Address. <Warning> This value is not changed when Factory Reset Exit Exit “Net Config” © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 2.9: Network Config> Description up Memory Allocation - + Datasheet.pdf“ ...

Page 20

... Source IP of EVB B/D in Network Config <Fig 2.10: Source IP Address Setup Example> <Fig 2.11> example of setting the MAC address of EVB B/D in Network Config 2.4.1.2. Channel Config It sets up an application that can be operated in 4 channels of W3150A each channel can be set up. The default W3150A < ...

Page 21

... Menu D : Display Config Displays current set up Test Application type of each W3150A Channel Sets up test application type at W3150A <Warning> As developing EVB B/D, DHCP Client application setup is possible only at no. “0” channel Channel Sets up test application type at W3150A ...

Page 22

... DHCP Client TCP Loopback Server TCP Loopback Client Loopback UDP Web Server © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Warning> EVB B/D : TCP Client, AX1 : TCP Server UDP Test Program Web Server Test Program nd + channel setting of W3150A as “TCP Loopback Client” ...

Page 23

... Ping Reply. This program is set up identically with the ping command in the DOS prompt. It’s executed when ‘3’ is chosen <Fig 2.8:Manage Program Execution > <Fig 2.14> displays the execution screen of Ping Application and shows how to use the Ping Application. © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 2.14: Usage of Ping Application > + ...

Page 24

... To terminate the Ping Application type, type “exit” at the “PING>” prompt. 2.4.2. EVB B/D Test Applications 2.4.2.1. DHCP Client DHCP Client Application is an application that dynamically assigns network information for EVB B/D from DHCP Server. To test DHCP Client, first of all, W3150A “DHCP Client” using [Manager>>Channel Config>>0th Channel] Menu. Refer to Chapter 2.4.1.2 < ...

Page 25

... When setting up “Loopback TCP Server” application type of EVB B/D, you can set listen port to any value. Here, it’s set as the default value, 5000. Refer to After the setup of EVB B/D is complete, run “AX1” at Test PC then try the connection to the IP Address. When the connection between EVB B/D and “AX1” is successful, loop back the data. Refer to “AX1 Manual Vx.x.pdf” ...

Page 26

... In setting up “Loopback UDP” Application type, set Source Port as any value. Here, it’s set with 3000. Refer to Chapter 2.4.1.2 After EVB B/D setup is over, loop back desired data with IP Address and UDP Source Port of EVB B/D using menu or Icon related to UDP. Refer to “AX1 Manual Vx.x.pdf”. ...

Page 27

... After setup for EVB B/D, run Web browser in the Test PC, type the URL(http://192.168.0.2/) of the EVB B/D in the address field and connect to EVB B/D. If the web browser is successfully connected to HTTP port of EVB B/D, the Web Page of <Fig 2.21> can be viewed. In case Web Page of <Fig 2.21> is not shown, refresh the screen using the “Refresh” function of the web browser. < ...

Page 28

... If [Control] button on the Web Page in <Fig 2.21> is clicked, it can set the network information or show the web page that can turn on or off LEDs(D1,D2) and display rows of text on Text LCD display. <Fig 2.22: Web Page of EVB B/D Control> © Copyright 2006 WIZnet Co., Inc. All rights reserved. ...

Page 29

... Ping When you can not reach EVB B/D by Ping command, Step 1. Check if you connect correctly test PC and EVB B/D with UTP cable. Step 2. Check if interface jumpers of JP18~JP22 are correctly set. JP18 : SPI mode (pin1-2 should be connected), Bus mode(pin2-3 should be connected) JP19~JP22 : SPI mode(pin 2-3 should be connected), Bus mode(pin1-2 should be connected) Step 3 ...

Page 30

... Memory Map 3.1.1. Code & Data Memory Map Memory Map of EVB B/D is composed of code memory 128 Kbytes and data memory 64Kbytes. Data memory is divided into SRAM, W3150A Internal EEPROM. Various types of environmental variables are recorded on this EEPROM. <Fig 3.1>, <Table 3-1> are representations of System Memory Map of EVB B/D. ...

Page 31

... Text LCD #define LCD_BASEADDR 3.1.2. AVR Internal EEPROM MAP <Fig 3.2>, <Table 3.2> are representations of AVR Internal EEPROM Map. Refer to “evb/config.h” and “evb/config.c.” © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Table 3-1: Device MAP Definition> Map Define 0x9000 <Fig 3.2: AVR Internal EEPROM Map> ...

Page 32

... CH_DESTIP_0 #define CH_TYPE_1 Channel #define CH_PORT_1 Information #define CH_DESTIP_1 #define CH_TYPE_2 #define CH_PORT_2 #define CH_DESTIP_2 #define CH_TYPE_3 #define CH_PORT_3 #define CH_DESTIP_3 © Copyright 2006 WIZnet Co., Inc. All rights reserved. 0x00 (SYS_INFO) (SYS_TEST + 2) (SYS_VER + 4) (SYS_AUTORESET + 1) 0x20 (NET_CONF) (NET_TEST+2) (NET_MAC + 6) (NET_SIP + 4) (NET_GWIP + 4) ...

Page 33

... System Information System Information area is used in recording System Information such as Firmware Version of EVB B/D. Name SYS_TEST Valid Check of System Information SYS_VER F/W Version SYS_AUTORESET Auto reset check in case of setting up any environmental variable SYS_ANY_PORT Using Any Port Number at Socket creation System Information is accessed as SYSINFO Data Type. ...

Page 34

... Network Information Network Information is used in recording Network Configuration information to be used for EVB B/D. Name NET_TEST Valid Information NET_SIP Source IP Address NET_GWIP Gateway IP Address NET_SN Subnet Mask NET_DNS DNS Server IP Address NET_MEMALLOC W3150A Network Information is accessed as NETCONF Data Type. <Table 3-7: NETCONF Data Type Definition> ...

Page 35

... Channel Information is used for recording application type for 4 channels of W3150A Channel application type includes Loopback TCP Server, Loopback TCP Client, Loopback UDP, DHCP Client, Web Server. Channel Information is defined as APPTYPE enumeration type. © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Table 3-9: Channel Information> Description 0xA5A5 – ...

Page 36

... Channel Information Access Function> Function void set_chconf(CHCONF* pChConf) void get_chconf(CHCONF* pChConf) © Copyright 2006 WIZnet Co., Inc. All rights reserved. Type Definition Global Instance CHCONF ChConf; u_char type; u_int port; u_long destip; Save the channel information ...

Page 37

... EVB B/D and Loopback Programs that tests W3150A Internet Application using Internet Protocols such as DHCP, HTTP, DNS, and ICMP. Let’s look at the source list of which EVB B/D is composed and then look at each application source. © Copyright 2006 WIZnet Co., Inc. All rights reserved. ...

Page 38

... DHCP Client Protocol DNS Client Protocol HTTP Protocol Ping Protocol EVB B/D F/W main() EVB B/D Web Pages EVB B/D Web Pages Image EVB B/D Web Page control printf() for debugging Utilities relating Socket Utilities System Dependant Defintion of W3150A I/O Functions of W3150A Socket APIs for W3150A Description ...

Page 39

... Compiling of EVB-B1 firmware can be processed by using WINAVR and AVRSTUDIO. First, install the WINAVR and AVRSTUDIO at the PC. Then, open the firmware file, "EVBs_B1plus.aps" through AVRSTUDIO project file to perform the compiling easily. Be sure to check compile setting detail at the Configuration option of Project menu of AVRSTUDIO. For the setting method, refer to AVR Studio User Guide ...

Page 40

... If DEFINE OPTION of + W3150A is changed, the sources must Re-Build. To Re-Build project, do “make clean”, then “make”. In case of SPI mode, be sure to change the configuration of JP18~JP22 in the MB-EVB-X2 board. For more detail, refer to Chapter 2.1.1 EVB B/D Layout & 3.2.3. How to download For downloading the hex file, we use AVRStudio and AVRISP Cable ...

Page 41

... If DHCP client application does not exist or fails to obtain network information from DHCP server, the EVB B/D is initialized with previously-set network information. After the initialization, it runs test applications of EVB B/D by calling each registered application handler. For further details on DHCP client program, refer to © ...

Page 42

... Reference Functions in EVB B/D’s main()> Function Name int main(void) void evb_init(void) void net_init(void) void check_manage(void) void register_channel_handler (u_char ch, void (*handler)(u_char)) void unregister_channel_handler (u_char ch) void init_dhcp_client(SOCKET s, void (*ip_update)(void), void (*ip_conflict)(void)) u_int getIP_DHCPS(void) void check_DHCP_state(SOCKET s) void loopback_tcps(u_char ch) void loopback_tcpc(u_char ch) ...

Page 43

... EVB B/D’s main()> © Copyright 2006 WIZnet Co., Inc. All rights reserved. 33 ...

Page 44

... Manage Program from RS232 terminal - if character ‘M’ or ‘m’ is input or not. And if the command is detected, Manage Program will be entered through manage_config(). If the user change the configuration, the EVB B/D automatically reboots and check_manage() is skipped. © Copyright 2006 WIZnet Co., Inc. All rights reserved. ...

Page 45

... If the EVB B/D is updated, the EVB B/D automatically reboots to apply the updated configuration. © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.5: manage_config()> 35 ...

Page 46

... Network Configuration Network Configuration is a sub-program of Manage Program and built with manage_network(). And it’s the program that sets up Network Information of EVB B/D. In general, MAC Address of Network Information is hardly updated after the initial setup. Accordingly, MAC Address setup does not provide Configuration Menu such as Source IP, Gateway IP, or Subnet Mask but it provides hidden menu. Also, MAC Address is not changed at the time of Factory Reset. MAC Address is updated using ‘ ...

Page 47

... Copyright 2006 WIZnet Co., Inc. All rights reserved. 37 ...

Page 48

... Load Factory Reset Network Information Read one character from UART0 Read text lines from UART(0,1) Check if the string is IP Address Transforms ordering of Long Type Data Transforms IP string into long type + . Location evb/manage. c evb/config.c evb/config.c evb/config.c evb/config.c mcu/serial.c mcu/serial.c util/sockutil.c util/sockutil.c util/sockutil.c 38 ...

Page 49

... LB_TCPS O connected clients as many as the number LB_TCPC O LB_UDP O WEB_SERVER O connected clients as many as the number © Copyright 2006 WIZnet Co., Inc. All rights reserved. Port Repeat X O, supports all the simultaneously of repeated ports supports all the simultaneously of repeated ports <Fig 3.7: manage_channel()> ...

Page 50

... Description Configure Channel Information Select available Application Type and Setup required factors Get Channel Information Update Channel Information Output Channel Information through Terminal Factory Reset Channel Information Read one character from UART0 Location evb/manage.c evb/manage.c evb/config.c evb/config.c evb/config.c evb/config.c mcu/serial.c Chapter 3.2.6.6 40 ...

Page 51

... Copyright 2006 WIZnet Co., Inc. All rights reserved. 41 ...

Page 52

... Continue> © Copyright 2006 WIZnet Co., Inc. All rights reserved. 42 ...

Page 53

... IP address, Ping reply wait time, number of Ping requests. Ping data size and received Ping Replies are analyzed and processed to fit the elements. <Fig 3.11> is the process of ping() and Ping message is defined and used as the data type of <Table 3-21>. Refer to “inet/ping.h” © Copyright 2006 WIZnet Co., Inc. All rights reserved. 43 ...

Page 54

... Unreachable MSG field and TimeExceedMSG field are incremented case of receiving Unreachable Message or Time Exceeded Message from peer or gateway. UnknownMSG field is incremented by 1 when the unknown message is received. © Copyright 2006 WIZnet Co., Inc. All rights reserved Ping Reply Ping Request // Always 0 ...

Page 55

... PingReply field is incremented by 1 whenever Ping reply for Ping request from the peer is received. Loss field is incremented by 1 whenever Wait Timeout is occurred because nothing is replied to the peer in certain period of time after sending Ping request. © Copyright 2006 WIZnet Co., Inc. All rights reserved. 45 ...

Page 56

... Copyright 2006 WIZnet Co., Inc. All rights reserved. 46 ...

Page 57

... In case of closing ICMP Socket, setIPProtocol(s, 0x00) should be called after close(s) and clear the ICMP Flag which was previously set. © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.12: DisplayPingStatistics()> shown in <Fig 3.11> and <Fig 3.13>, IP protocol to be ...

Page 58

... SendPingReply()> © Copyright 2006 WIZnet Co., Inc. All rights reserved. 48 ...

Page 59

... SOCKET getSocket(unsigned char status, SOCKET start) © Copyright 2006 WIZnet Co., Inc. All rights reserved. Description Ping Request program Outputs the instruction of Ping Request program Sends Ping ...

Page 60

... The Loopback TCP Server program of EVB B/D works as server mode, and AX1 program of the testing PC works as client mode. AX1 tries to connect to EVB B/D and if the connection is successful, AX1 transmits the data stream through the TCP channel. EVB B/D returns back the data stream from AX1 without processing through the TCP channel. Loopback TCP Server Program uses loopback_tcps() and < ...

Page 61

... SOCK_ESTABLISHED status. Data is transferred using recv() and send() at the SOCK_ESTABLISHED. The data transfer here is 1-on-1 transfer between EVB B/D(The server) and AX1(The client). In the SOCK_ESTABLISHED status, if the client requests closing of the connection, the server socket status is changed from SOCK_ESTABLISHED to SOCK_CLOSE_WAIT. In SOCK_CLOSE_WAIT status, data communication is not available and the server socket must be closed ...

Page 62

... At Loopback TCP Client program, EVB B/D works in client mode and AX1, PC test program works in server mode. EVB B/D tries to connect to AX1 which is waiting as the server, if the connection is successful EVB B/D receives data stream through TCP channel and then EVB B/D sends back the received data stream to AX1. Loopback TCP client program is created with loopback_tcpc() and < ...

Page 63

... Attempts to connect to the specific server with related socket Sends the data to related socket that is in connection Receives the data to related socket that is in connection Close the related socket Get any port number. Location app/loopback.c iinChip/w3150a.c iinChip/socket.c iinChip/socket.c iinChip/socket.c iinChip/socket.c iinChip/socket.c evb/config.c 53 ...

Page 64

... Loopback UDP program uses loopback_udp() and <Fig 3-16> shows processing procedure of loopback_udp(). © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.16: loopback_udp()> 54 ...

Page 65

... Web server program, message structure of HTTP protocol that is transmitted between Web server and Web client(Web browser) are needed to be understood. HTTP, which stands for Hyper Text Transfer Protocol protocol used in Internet for transferring between © Copyright 2006 WIZnet Co., Inc. All rights reserved. Description Loopback udp program Gets the socket status, the size of transferable and received data ...

Page 66

... CGI(Common Gateway Interface), it takes the action and the result is informed in web page. <Fig 3.17> shows HTTP message flow between web server and web client. <Table 3-28> shows structure of HTTP message. © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.17: HTTP Message Flow> 56 ...

Page 67

... For further information on HTTP message, refer to RFC2616. HTTP request message varies according to web browser type. <Table 3-29> shows the examples of HTTP message communication between Internet Explores on Windows 2000 and EVB B/D. © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Table 3-27: HTTP Message Format> ...

Page 68

... HTTP MESSAGE BETWEEN EVB B/D AND WEB BROWSER> HTTP Request Message Ex1> GET wiz_log.gif HTTP/1.1CRCF Accept: image/gif, image/x-xbitmap, image/jpeg, image/pjpeg, application/vnd.-ms- powerpoint, application/vnd.-ms-excel, application/ms-word, */*CRCF Accept Language: koCRCF Accept Encoding: gzip, deflateCRCF User-Agent: Mozilla/4.0 (compatible; MSIE 5.01; Windows NT 5.0; .NET CLR 1 ...

Page 69

... After calling function proc_http(), it waits until the HTTP response message to HTTP request from web browser, and then calls disconnect() to close the http socket. This socket close is called Active Close and, in the case, EVB B/D requests the close to the client first. For your reference, Passive Close is where client requests disconnection first. The reason why web server program supports Active Close is that EVB B/D supports the connection with other clients. © ...

Page 70

... Copyright 2006 WIZnet Co., Inc. All rights reserved. 60 ...

Page 71

... METHOD of analyzed HTTP request message is “GET”, “HEAD”, or “POST”, get_http_uri_name() is called and URI Name is extracted from HTTP Request message. If extracted URI Name is “/”,replace URI Name “/” to “index.html” which is web server default page of EVB B/D, because this means that web browser is requesting default page of web server. ...

Page 72

... WIZnet. Refer to “ROM File Maker Manual Vx.x.pdf” for further information. HTTP Request message can be divided into Method and Request-URI by parse_http_request() and stored in ‘st_http_request’ Date Type which is defined in <Table 3-31>. It gets the requested URI Type with get_http_uri_type(). #define MAX_URI_SIZE ...

Page 73

... Through function get_http_param_value(), it can extract the wanted variable value in Query String. <Fig 3.22: get_http_uri_name() & get_http_parse_value()> CGI processing of Web Server Program at EVB B/D is different from general Web Server Program which is © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.21: find_http_uri_type()> ...

Page 74

... OS. Web Server Program which is based on OS creates separate process to take case of communication between processes independently. However, Web Server of EVB B/D is OS-less, so, instead of making independent process, it calls relevant functions to deal directly with CGI processing. EVB B/D supports “NETCONF.CGI” which updates Network Information and “LCDNLED.CGI” which controls text LCD, D1/D2 LED of EVB B/D. < ...

Page 75

... NETCONF.CGI, also, is used to extract related parameter value using get_http_param_value(). <FORM>of LCDNLED.CGI is submitted in “GET” Method and <FORM> submitted as “GET” Method is submitted in Query String of Request-URI. Parameters submitted by Query String of Request-URI can also extract parameter value using get_http_param_value(). © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.24: LCDNLED.CGI Processing> 65 ...

Page 76

... Copyright 2006 WIZnet Co., Inc. All rights reserved. Description Web Server Program Processes HTTP Message using related socket Change Pre-defined ...

Page 77

... DHCP server. In case that it receives new network information, the new one must be used. Message between DHCP server and client has the format as in <Fig 3.26> with the size of 544 Bytes. Refer © Copyright 2006 WIZnet Co., Inc. All rights reserved destination IP address needs to be set ’255.255.255.255’ for broadcast < ...

Page 78

... To take a brief look at the Option Field of DHCP Message, Option Field has the format of <Fig 3.27>, it contains Magic Cookie Field, a Lease Identification Cookie with the size of 4 Byte and Code Set ranged from © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.26: DHCP Message Format> ...

Page 79

... Option Codes that are used in DHCP Client Program. Other codes that are not defined in <Table 3-34> are skipped from DHCP Client Program. © Copyright 2006 WIZnet Co., Inc. All rights reserved. Description used to cause subsequent fields to align on word boundaries ...

Page 80

... Server. When calling init_dhcp_client(), if each function is not specified, set_DHCP_network() and proc_ip_conflict() of DHCP Client Program respectively. When network information is renewed or IP collision occurs, register evb_soft_reset() to run auto reset for EVB B/D. Second, Network Information acquirement can be done through getIP_DHCPS(). © Copyright 2006 WIZnet Co., Inc. All rights reserved. ...

Page 81

... DHCP server as long as the ‘DHCP_WAIT_TIME’ defines and as many as the ‘MAX_DHCP_RETRY’defines. While waiting for ‘DHCP_WAIT_TIME & MAX_DHCP_RETRY’ time, it continuously checks if dhcp_state is changed to STATE_DHCP_LEASED through check_DHCP_state(). © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.29: getIP_DHCPS()> + using setIP(),setMACAddr(),and etc, and it initializes ‘dhcp_state’ ...

Page 82

... MAX_DHCP_RETRY’, check_DHCP_state() sets DHCP_Timeout to 1. When DHCP_Timeout is 1, getIP_DHCPS() returns failure after releasing the DHCP Timer. When it failed to obtain network information from DHCP server, EVB B/D sets network configuration using default network information or previously obtained network information. <Table 3-35> definition of State, Timeout , and Retry Count of DHCP Client. ...

Page 83

... DHCP message from DHCP server. It receives and analyzes DHCP message. Accoridng to the types of analyzed DHCP message, if it’s DHCP message that can be receivable, it changes to next state after it changes DHCP Client State as shown DHCP Message Flow of <Fig 3.30>. © Copyright 2006 WIZnet Co., Inc. All rights reserved. 73 ...

Page 84

... If we take a look at DHCP_STATE_LEASED state at check_DHCP_state(), the Lease Time received from DHCP server is finite, in case that half of the Lease Time passed, it sends DHCP_REQEUST Message to DHCP Server and changes it as DHCP_STATE_REREQUEST after it backs © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.31: check_DHCP_state()> 74 ...

Page 85

... IP continuously transmits DHCP_REQUEST to the server, network information is maintained. <Fig 3.32: parse_DHCPMSG() & check_DHCP_Timeout()> parseDHCPMSG() receives DHCP message from DHCP server, categorizes Type of DHCP Message, and © Copyright 2006 WIZnet Co., Inc. All rights reserved. 75 ...

Page 86

... Copyright 2006 WIZnet Co., Inc. All rights reserved. Description Initializes DHCP Client Obtains network information from the server Manages network information obtained from DHCP Server ...

Page 87

... Domain Name System Structure & DNS Message Flow> As seen in <Fig 3.33>, DNS Query and DNS Answer Message transmittable between DNS Resolver and Name Server are composed of 5 Sections in <Fig 3.34>. © Copyright 2006 WIZnet Co., Inc. All rights reserved. data transmittable, and received data ...

Page 88

... Header Section has fixed 12 Bytes length and the other 4 sections have variable lengths. Answer, Authority, Additional Section other than Header and Question Section are called Resource Records(RRs). Each of Header, Question, and RRs has different format. © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.34: DNS Message Format> <Fig 3.35: Header Section Format> ...

Page 89

... NAME are variable length fields which are composed of <Fig 3.36> Format and they process each field. RDDATA, variable length field, processes using the data length of RDLENGTH Field. For further details, refer to RFC1034 and RFC1035 DNS Message is operated by Data Type defined in <Table 3-38>. Refer to “inet/dns.h” © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.37: Recode Resources Format> 79 ...

Page 90

... Actual connection with DNS Name Server is performed through dns_query(), and gethostbyaddr() and gethostbyname() are reporting only the result of dns_query(). <Table 3-38: Query Type Definition at dns_query()> typedef enum _QUERYDATA{BYNAME,BYIP}QUERYDATA; © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Table 3-37: DNS Message Data Type> /* Identification */ // Variable length data ...

Page 91

... Response Message or waits until the waiting time is expired. If DNS response message is received from DNS name server during the waiting time, it analyzes received DNS response message using dns_parse_response().dns_query() returns IP Address or Domain Name depending on Query Type. <Fig 3.39> is dns_query()’s process map © Copyright 2006 WIZnet Co., Inc. All rights reserved. 81 ...

Page 92

... Copyright 2006 WIZnet Co., Inc. All rights reserved. 82 ...

Page 93

... Copyright 2006 WIZnet Co., Inc. All rights reserved. 83 ...

Page 94

... Address string are composed of label length of 1 byte and label of MAX 63 Byte. The end of QNAME is always set with 0 to find out the variable length of QNAME. <Fig 3.41> is actual example of transformation of Domain Name “www.wiznet.co.kr” in QNAME field. <Fig 3.41: Example of QNAME Field transformation of Question Section > © Copyright 2006 WIZnet Co., Inc. All rights reserved ...

Page 95

... QTYPE_MAILB #define QTYPE_MAILA #define QTYPE_TYPE_ALL #define CLASS_IN #define CLASS_CS #define CLASS_CH #define CLASS_HS #define QCLASS_ANY © Copyright 2006 WIZnet Co., Inc. All rights reserved. 1 The ARPA Internet 2 an authoritative name server 3 a mail destination (Obsolete - use MX mail forwarder (Obsolete - use MX) ...

Page 96

... Copyright 2006 WIZnet Co., Inc. All rights reserved. 86 ...

Page 97

... If you need information on Authority and Additional Section, you can get them easily on your own. Question Section is processed as many as QDCOUNT of Header Section by calling dns_parse_question(). Answer Section is processed as many as ANCOUNT of Header Section by calling dns_parse_question(). © Copyright 2006 WIZnet Co., Inc. All rights reserved error condition 1 ...

Page 98

... Question Section. There is no information that actually used in the Question Section of DNS Request Message, but it must be processed to get the starting position of Answer Section. Since QNAME Field of Question Section gets variable length, parse_name() processes © Copyright 2006 WIZnet Co., Inc. All rights reserved. 88 ...

Page 99

... IP Address, it can get the changed IP Address from TYPE_A and if the IP Address is changed to Domain Name, Domain Name can be obtained from TYPE_PTR. Changed Domain Name or IP Address are also processed and extracted by parse_name(). © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 3.44: parse_name()> 89 ...

Page 100

... DNS Message, relevant Domain Name sets the offset that is located in DNS Message as Indirect so that it can reduce the size of DNS Message. <Fig 3.45> example of Compress Scheme of DNS Message and its application. <Fig 3.45: DNS Message Compression Scheme> © Copyright 2006 WIZnet Co., Inc. All rights reserved. ST Byte excluding upper 2 bits and 2 nd ...

Page 101

... Name, checks if upper 2 bits of Label Length Byte are 11, if it’s ‘11’ the related Label analyzes the Label at the offset of DNS Message where the Label is located. If it’s no ‘11’ then the Label is analyzed and processed like as <Fig 3.41: Example of QNAME Field transformation of Question Section >. © Copyright 2006 WIZnet Co., Inc. All rights reserved. 91 ...

Page 102

... Copyright 2006 WIZnet Co., Inc. All rights reserved. Description Changes IP Address to Domain Name Changes Domain Name to IP Address DNS Message Processing Creates DNS Request Message ...

Page 103

... Hardware Designer’s Guide 4.1. Block Diagram © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Fig 4.1: EVB B/D Block Diagram> 93 ...

Page 104

... PM-A1(AVR MODULE) is composed of Atmega128 Processor, 74HC573 for address latch, 8MHz external crystal and header for interfacing to Base board(JP4,JP5), and ISP(JP3) & JTAG(JP1) Interface. For easy development using EVB Board, all the port pin except for /ALE(PG2) are connected to MB-EVB-X2 © Copyright 2006 WIZnet Co., Inc. All rights reserved. ...

Page 105

... JP4 45 JP5 34 JP5 35 JP5 36 JP5 37 JP4 48 JP4 46 JP5 38 JP5 44 JP5 23 JP5 46 JP5 6 JP5 8 JP5 13 ~ © Copyright 2006 WIZnet Co., Inc. All rights reserved. Pin Name Dir. D0(PA0) I/O Databus[0:7] or PA[0:7] ~ D7(PA7) PB0 I/O PB[0:7] ~ PB7 A0 I/O Address bus[0: A8(PC0) I/O Address bus[8:15] / PC[0:7] ~ A15(PC7) PD0/SCL I/O PD[0:7] PD1/SDA PD2/RXD1 PD3/TXD1 PD4 ...

Page 106

... Reset Signal Input process generated by EVB B/D’s Reset Switch(SW3). Depending on shunt location connected to baseboard JP11, ‘Low Active Reset’ or 'Open Reset Signal' is obtained by selection. EVB B/D makes No. 1 and No. 2 pin of JP11 connect to short. When you use ISP Tool, you should open JP11. I 3.3V Power Input. ...

Page 107

... SCK 7 CPU_RESET 5 © Copyright 2006 WIZnet Co., Inc. All rights reserved. <Table 4-2: ISP Pin Description> I/O - Power is delivered to the AVRISP - Ground Input Commands and data from AVRISP to EVB B/D Output Data from EVB B/D to AVRISP Input Serial Clock, Controlled by AVRISP Input Reset. Controlled by AVRISP Description 97 ...

Page 108

... Physical layer : Ethernet PHY Connector : MAG-JACK + For details on NM7010B MODULE, refer to “NM7010B 4.2.3. LCD LCD is used for debugging and system status display. LCD uses CM16022AGRNNA-02 of Data Image Corporation. Pin Description of LCD Interface (JP6 follows. EVB B/D PIN NAME/ PIN# LCD PIN NAME 1 GND/VSS 2 5V/VDD 3 V0/V0 4 ...

Page 109

... PAL PAL is used to make enable signal of various chip or module that are used for EVB B/D. The PAL element that is used in the product is ATF16V8B-15PL from ATMEL co. It uses 10 input pins and 8 I/O Pins. It makes Chip Select or Enable Signal about SRAM(/CS_RAM), LCD(LCD_E), and W3150A The output, PAL_OUT_0~PAL_OUT_4, are set aside for expansion through Expanded Interface ...

Page 110

... GND 55,62,65,72, 82,88,94 Expanded Board Interface Connector, which is “PCN10BK-96S-2.54DS” of Hirose co Din Connector 96Pin Female Rightangle Type. Connector of Male Type that is mated here is “PCN10-96P-2.54DS.” © Copyright 2006 WIZnet Co., Inc. All rights reserved. O I2C Bus Clock Line/Port D1 I/O Port B[0:7] I/O Port D[4:7] ...

Page 111

... Power Regulator EVB B/D gets 12V DC power through power adaptor. The powers used inside the board are 5V and 3.3 V. The regulator is MIC4680BM(U4,U5) of MICREL. 2Pin Header (JP17, JP15, and JP16) is placed at the end of power input 12V,5V,3.3V to measure the power usage. In normal operation, each Header must be shorted. ...

Page 112

... Schematic 4.3.1. MB-EVB-X2 Please refer to “MB-EVB-X2.DSN” in the CD. 4.3.2. PM-A1 Please refer to “PM-A1.DSN” in the CD. + 4.3.3. NM7010B Please refer to “NM7010B+.DSN” in the CD. © Copyright 2006 WIZnet Co., Inc. All rights reserved. 102 ...

Page 113

... The address map of EVB B/D is same as <Fig 3.1: EVB B/D Memory Map>. The EVB B/D supports 3 enable signal(Chip Select) as shown in the address map of EVB B/D. EVB B/D provides VHDL Code. For developer who uses PAL element, CUPL is recommended since freeware PAL Compiler. WINCUPL of ATMEL co. can be used after simple registration. ...

Page 114

... The following is a CUPL Source Code that makes SRAM CS. /* < 0x8000 */ !nCS_RAM = !A15; 4.4.3. LCD Area LCD is ranged 0x9000 ~ 0x9400. WR and RD Signal are used together to control the timing. © Copyright 2006 WIZnet Co., Inc. All rights reserved read signal */ /* write signal */ */ /* LCD CS ...

Page 115

... LCD_E = (A15 & !A14 & !A13 & A12 & !A11 & !A10) & (!nRD # !nWR); LCD is High Active Enable Signal. + 4.4.4. W3150A Area + In case of W3150A , the address is divided into 2 parts about same Chip. For more details, refer to “W3150A © Copyright 2006 WIZnet Co., Inc. All rights reserved. + Datasheet” 105 ...

Page 116

... A14); For VHDL Source Code, refer to “EVB_PAL.VHD” in the CD. For CUPL Source Code, refer to “EVB_PAL.PLD” in the CD. Please refer to “AVR Tool Guide.pdf” for compiling. © Copyright 2006 WIZnet Co., Inc. All rights reserved. ...

Page 117

... Parts List 4.5.1. MB-EVB-X2 Parts List Please refer to “MB-EVB-X2_PARTLIST.PDF” in the CD. 4.5.2. PM-A1 Parts List Please refer to “PM-A1_PARTLIST.PDF” in the CD. + 4.5.3. NM7010B Please refer to “NM7010B+_PARTLIST.PDF” in the CD. © Copyright 2006 WIZnet Co., Inc. All rights reserved. 107 ...

Page 118

... Physical Specification 4.6.1. Power Consumption Power consumption of each component of EVB B the following table. < Table 4-5 EVB B/D Power Consumption > Power Level MIN 12V - 5V - 3.3V - Total Power consumption is 106mA X 12V = 1272mW. © Copyright 2006 WIZnet Co., Inc. All rights reserved. TYP MAX UNIT 106 - 75 - 148 - Mesuring ...

Related keywords