XCV405E-7FG676I Xilinx Inc, XCV405E-7FG676I Datasheet

IC FPGA 1.8V 676-BGA

XCV405E-7FG676I

Manufacturer Part Number
XCV405E-7FG676I
Description
IC FPGA 1.8V 676-BGA
Manufacturer
Xilinx Inc
Series
Virtex™-E EMr
Datasheet

Specifications of XCV405E-7FG676I

Number Of Logic Elements/cells
10800
Number Of Labs/clbs
2400
Total Ram Bits
573440
Number Of I /o
404
Number Of Gates
129600
Voltage - Supply
1.71 V ~ 1.89 V
Mounting Type
Surface Mount
Operating Temperature
-40°C ~ 100°C
Package / Case
676-BBGA
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
XCV405E-7FG676I
Manufacturer:
XilinxInc
Quantity:
3 000
Part Number:
XCV405E-7FG676I
Manufacturer:
Xilinx Inc
Quantity:
10 000
Part Number:
XCV405E-7FG676I
Manufacturer:
XILINX
0
Part Number:
XCV405E-7FG676I
Manufacturer:
XILINX/赛灵思
Quantity:
20 000
Part Number:
XCV405E-7FG676I
Quantity:
1 013
DS025-1 (v1.5) July 17, 2002
Features
Introduction
The Virtex™-E Extended Memory (Virtex-EM) family of
FPGAs is an extension of the highly successful Virtex-E
family architecture. The Virtex-EM family (devices shown in
Table
tional block RAM, useful for applications such as network
switches and high-performance video graphic systems.
Xilinx developed the Virtex-EM product family to enable
customers to design systems requiring high memory band-
width, such as 160 Gb/s network switches. Unlike traditional
ASIC devices, this family also supports fast time-to-market
delivery, because the development engineering is already
completed. Just complete the design and program the
device. There is no NRE, no silicon production cycles, and no
additional delays for design re-work. In addition, designers
can update the design over a network at any time, providing
product upgrades or updates to customers even sooner.
The Virtex-EM family is the result of more than fifteen years
of FPGA design experience. Xilinx has a history of support-
DS025-1 (v1.5) July 17, 2002
Production Product Specification
© 2000-2002 Xilinx, Inc. All rights reserved. All Xilinx trademarks, registered trademarks, patents, and disclaimers are as listed at http://www.xilinx.com/legal.htm.
Fast, Extended Block RAM, 1.8 V FPGA Family
-
-
-
Sophisticated SelectRAM+™ Memory Hierarchy
-
-
-
-
-
Highly Flexible SelectIO+™ Technology
-
-
Complete Industry-Standard Differential Signalling
Support
-
-
* ZBT is a trademark of Integrated Device Technology, Inc.
1) includes all of the features of Virtex-E, plus addi-
560 Kb and 1,120 Kb embedded block RAM
130 MHz internal performance (four LUT levels)
PCI compliant 3.3 V, 32/64-bit, 33/66-MHz
294 Kb of internal configurable distributed RAM
Up to 1,120 Kb of synchronous internal block RAM
True Dual-Port block RAM
Memory bandwidth up to 2.24 Tb/s (equivalent
bandwidth of over 100 RAMBUS channels)
Designed for high-performance Interfaces to
external memories
·
·
Supports 20 high-performance interface standards
Up to 556 singled-ended I/Os or up to 201
differential I/O pairs for an aggregate bandwidth of
>100 Gb/s
LVDS (622 Mb/s), BLVDS (Bus LVDS), LVPECL
Al I/O signals can be input, output, or bi-directional
All other trademarks and registered trademarks are the property of their respective owners. All specifications are subject to change without notice.
200 MHz ZBT* SRAMs
200 Mb/s DDR SDRAMs
R
0
0
www.xilinx.com
1-800-255-7778
0
Virtex™-E 1.8 V Extended Memory
Field Programmable Gate Arrays
Production Product Specification
ing customer applications by providing the highest level of
logic, RAM, and features available in the industry. The Vir-
tex-EM family, first FPGAs to deploy copper interconnect,
offers the performance and high memory bandwidth for
advanced system integration without the initial investment,
long development cycles, and inventory risk expected in tra-
ditional ASIC development.
-
Proprietary High-Performance SelectLink™
Technology
-
-
-
Eight Fully Digital Delay-Locked Loops (DLLs)
IEEE 1149.1 boundary-scan logic
Supported by Xilinx Foundation Series™ and Alliance
Series™ Development Systems
-
-
SRAM-based In-System Configuration
-
Advanced Packaging Options
-
-
0.18 µm 6-layer Metal Process with Copper
Interconnect
100% Factory Tested
LVPECL and LVDS clock inputs for 300+ MHz
clocks
80 Gb/s chip-to-chip communication link
Support for Double Data Rate (DDR) interface
Web-based HDL generation methodology
Internet Team Design (Xilinx iTD™) tool ideal for
million-plus gate density designs
Wide selection of PC or workstation platforms
Unlimited re-programmability
1.0 mm FG676 and FG900
1.27 mm BG560
Module 1 of 4
1

Related parts for XCV405E-7FG676I

XCV405E-7FG676I Summary of contents

Page 1

R DS025-1 (v1.5) July 17, 2002 Features • Fast, Extended Block RAM, 1.8 V FPGA Family - 560 Kb and 1,120 Kb embedded block RAM - 130 MHz internal performance (four LUT levels) - PCI compliant 3.3 V, 32/64-bit, 33/66-MHz ...

Page 2

... Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 1: Virtex-E Extended Memory Field-Programmable Gate Array Family Members Device Logic Gates CLB Array XCV405E 129,600 XCV812E 254,016 Virtex-E Compared to Virtex Devices The Virtex-E family offers up to 43,200 logic cells in devices up to 30% faster than the Virtex family. ...

Page 3

... Table 3: Virtex-EM Family Maximum User I/O by Device/Package (Excluding Dedicated Clock Pins) Package BG560 FG676 FG900 Virtex-E Extended Memory Ordering Information Example: XCV405E-6BG560C Device Type Speed Grade (-6, -7, -8) DS025-1 (v1.5) July 17, 2002 Production Product Specification Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays ...

Page 4

... Accumulated edits and fixes. Upgrade to Preliminary. Preview -8 numbers added. Reformatted to adhere to corporate documentation style guidelines. Minor changes in BG560 pin-out table. • In Table 3 (Module 4), FG676 Fine-Pitch BGA — XCV405E, the following pins are no 09/19/00 1.2 longer labeled as VREF: B7, G16, G26, W26, AF20, AF8, Y1, H1. ...

Page 5

R DS025-2 (v2.3) November 19, 2002 Architectural Description Virtex-E Array The Virtex-E user-programmable gate array (see comprises two major configurable elements: configurable logic blocks (CLBs) and input/output blocks (IOBs). • CLBs provide the functional elements for constructing logic. • IOBs ...

Page 6

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 1: Supported I/O Standards I/O Output Input Standard V V CCO CCO LVTTL 3.3 3.3 LVCMOS2 2.5 2.5 LVCMOS18 1.8 1.8 SSTL3 I & II 3.3 N/A SSTL2 I & ...

Page 7

R IOBs, called banks. Consequently, restrictions exist about which I/O standards can be combined within a given bank. Eight I/O banks result from separating each edge of the FPGA into two banks, as shown in Figure multiple V pins, all ...

Page 8

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays G4 G3 LUT LUT F5IN CLK CE In addition to the four ...

Page 9

... SelectRAM memory that is available in each Virtex-E device. Table 4: Virtex-E Block SelectRAM Amounts Virtex-E Device # of Blocks Block SelectRAM Bits XCV405E 140 XCV812E 280 DS025-2 (v2.3) November 19, 2002 Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Arithmetic Logic Dedicated carry logic provides fast arithmetic carry capabil- ity for high-speed arithmetic functions ...

Page 10

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays RAMB4_S#_S# WEA ENA DOA[#:0] RSTA CLKA ADDRA[#:0] DIA[#:0] WEB ENB RSTB DOB[#:0] CLKB ADDRB[#:0] DIB[#:0] Figure 6: Dual-Port Block SelectRAM Table 5 shows the depth and width aspect ratios for the ...

Page 11

R Dedicated Routing Some signal classes require dedicated routing resources to maximize performance. In the Virtex-E architecture, dedi- cated routing resources are provided for two signal classes. • Horizontal routing resources are provided for on-chip 3-state busses. Four partitionable bus ...

Page 12

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays DLL provides four quadrature phases of the source clock, and can double the clock or divide the clock by 1. 16. The DLL also ...

Page 13

R Figure diagram of the Virtex-E Series boundary scan logic. It includes three bits of Data Register per IOB, the IEEE 1149.1 Test Access Port controller, and the Instruction Register with decodes. IOB IOB IOB IOB IOB ...

Page 14

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 6: Boundary Scan Instructions Boundary-Scan Binary Command Code (4:0) EXTEST 00000 Enable boundary-scan EXTEST operation. SAMPLE/ 00001 Enable boundary-scan PRELOAD SAMPLE/PRELOAD operation. USER1 00010 Access user-defined register 1. USER2 00011 ...

Page 15

... Third-party vendors support many other environments. DS025-2 (v2.3) November 19, 2002 Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 7: IDCODEs Assigned to Virtex-E FPGAs FPGA XCV405EM XCV812EM Note: Attempting to load an incorrect bitstream causes configuration to fail and can damage the device. Including Boundary Scan in a Design ...

Page 16

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays tions and the desired performance. Finally, the router inter- connects the blocks. The PAR algorithms support fully automatic implementation of most designs. For demanding applications, however, the user can exercise various ...

Page 17

... Table 9 lists the total number of bits required to configure each device. Table 9: Virtex-E Bitstream Lengths Device # of Configuration Bits XCV405E 3,430,400 XCV812E 6,519,648 Slave-Serial Mode In slave-serial mode, the FPGA receives configuration data in bit-serial form from a serial PROM or other source of serial configuration data. The serial bitstream must be set up at the DIN input pin a short time before each rising edge of an externally generated CCLK ...

Page 18

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays VIRTEX-E PROGRAM DONE PROGRAM Figure 13: Master/Slave Serial Mode Circuit Diagram DIN CCLK DOUT (Output) Figure 14: Slave-Serial Mode Programming Switching Characteristics Master-Serial Mode In master-serial mode, the ...

Page 19

R The sequence of operations necessary to configure a Virtex-E FPGA serially appears in Figure Apply Power FPGA starts to clear configuration memory. Set PROGRAM = High FPGA makes a final clearing pass and releases INIT when finished. Release INIT ...

Page 20

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays either asserted or de-asserted. Otherwise an abort is initiated, as described below. 2. Drive data onto D[7:0]. Note that to avoid contention, the data source should not be enabled while CS ...

Page 21

R Apply Power FPGA starts to clear configuration memory. PROGRAM from Low to High FPGA makes a final clearing pass and releases INIT when finished. Release INIT INIT? Set WRITE = Low Enter Data Source Set CS = Low Apply ...

Page 22

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Configuration through the TAP uses the CFG_IN instruc- tion. This instruction allows data input on TDI to be con- verted into data packets for the internal configuration bus. The following steps ...

Page 23

R the internal storage elements to begin changing state in response to the logic and the user clock. The relative timing of these events can be changed. In addi- tion, the GTS, GSR, and GWE events can be made depen- ...

Page 24

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Design Considerations This section contains more detailed design information on the following features. • Delay-Locked Loop . . . see page 20 • BlockRAM . . . see page 24 • ...

Page 25

R BUFGDLL Pin Descriptions Use the BUFGDLL macro as the simplest way to provide zero propagation delay for a high-fanout on-chip clock from an external input. This macro uses the IBUFG, CLKDLL and BUFG primitives to implement the most basic ...

Page 26

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays 1x Clock Outputs — CLK[0|90|180|270] The 1x clock output pin CLK0 represents a delay-compen- sated version of the source clock (CLKIN) signal. The CLKDLL primitive provides three phase-shifted versions of the ...

Page 27

R DLL-3S DLL-3P DLL-2P DLL- DLL-1S DLL-1P DLL-0P DLL-0S Figure 26: Virtex Series DLLs Design Factors Use the following design considerations to avoid pitfalls and improve success ...

Page 28

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Virtex-E Device CLKDLL IBUFG CLKIN CLK0 CLK90 CLKFB CLK180 CLK270 IBUFG CLK2X CLKDV RST LOCKED CLKDLL CLKIN CLK0 CLK90 CLKFB CLK180 CLK270 CLK2X CLKDV RST LOCKED Non-Virtex-E Chip Non-Virtex-E Chip Other ...

Page 29

R Operating Modes Virtex-E block SelectRAM+ memory supports two operating modes. • Read Through • Write Back Read Through (one clock edge) The read address is registered on the read port clock edge and data appears on the output after ...

Page 30

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Port Signals Each block SelectRAM+ port operates independently of the others while accessing the same set of 4096 memory cells. Table 15 describes the depth and width aspect ratios for the ...

Page 31

R Conflict Resolution The block SelectRAM+ memory is a true dual-read/write port RAM that allows simultaneous access of the same memory cell from both ports. When one port writes to a given memory cell, the other port must not address ...

Page 32

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays CLK ADDR DIN DOUT EN RST WE DISABLED Figure 33: Timing Diagram for Single Port Block SelectRAM+ Memory CLK_A ADDR_A EN_A WE_A DI_A DO_A CLK_B ADDR_B 00 EN_B WE_B DI_B 1111 ...

Page 33

R Initialization The block SelectRAM+ memory can initialize during the device configuration sequence. The 16 initialization properties of 64 hex values each (a total of 4096 bits) set the initialization of each RAM. These properties appear in ization properties not ...

Page 34

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays VHDL Initialization Example library IEEE; use IEEE.std_logic_1164.all; entity MYMEM is port (CLK, WE:in std_logic; ADDR: in std_logic_vector(8 downto 0); DIN: in std_logic_vector(7 downto 0); DOUT: out std_logic_vector(7 downto 0)); end MYMEM; ...

Page 35

R Verilog Initialization Example module MYMEM (CLK, WE, ADDR, DIN, DOUT); input CLK, WE; input [8:0] ADDR; input [7:0] DIN; output [7:0] DOUT; wire logic0, logic1; //synopsys dc_script_begin //set_attribute ram0 INIT_00 "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF" -type string //set_attribute ram0 INIT_01 "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210" -type string ...

Page 36

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Fundamentals Modern bus applications, pioneered by the largest and most influential companies in the digital electronics industry, are commonly introduced with a new I/O standard tailored spe- cifically to the needs ...

Page 37

R standard requires a Differential Amplifier input buffer and a Push-Pull output buffer. SSTL3 — Stub Series Terminated Logic for 3.3V The Stub Series Terminated Logic for 3 SSTL3 stan- dard is a general purpose 3.3 V memory ...

Page 38

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays The voltage reference signal is “banked” within the Virtex-E device on a half-edge basis such that for all packages there are eight independent V banks internally. See REF for a representation ...

Page 39

added convenience, the BUFGP can be used to instantiate a high fanout clock input. The BUFGP symbol represents a combination of the LVTTL IBUFG and BUFG symbols, such that the output of the BUFGP can connect directly ...

Page 40

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays The LVTTL OBUFT additionally can support one of two slew rate modes to minimize bus transients. By default, the slew rate for each output buffer is reduced to minimize power bus ...

Page 41

R IOBUF x133_06_111699 Figure 42: Input/Output Buffer Symbol (IOBUF) The following list details variations of the IOBUF symbol. • IOBUF • IOBUF_S_2 • IOBUF_S_4 • IOBUF_S_6 • IOBUF_S_8 • IOBUF_S_12 • IOBUF_S_16 • IOBUF_S_24 • IOBUF_F_2 ...

Page 42

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays IOB Flip-Flop/Latch Property The Virtex-E series I/O block (IOB) includes an optional reg- ister on the input path, an optional register on the output path, and an optional register on the ...

Page 43

... CTT AGP Note: This analysis assumes load for each output. Table 22: Pkg/Part BG560 FG676 FG900 www.xilinx.com 1-800-255-7778 Guidelines for Maximum Number of Simultaneously Switching Outputs per Power/Ground Pair Package Standard BGA, FGA Virtex-E Extended Memory Family Equivalent Power/Ground Pairs XCV405E XCV812E 56 Module ...

Page 44

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Application Examples Creating a design with the SelectI/O features requires the instantiation of the desired library symbol within the design code. At the board level, designers need to know the termi- ...

Page 45

R HSTL A sample circuit illustrating a valid termination technique for HSTL_I appears in Figure 46. A sample circuit illustrating a valid termination technique for HSTL_III appears in Figure 47. HSTL Class 1.5V TT CCO 50Ω ...

Page 46

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays SSTL3_I A sample circuit illustrating a valid termination technique for SSTL3_I appears in Figure 49. DC voltage specifications appear in Table 28. SSTL3 Class 3.3V CCO ...

Page 47

R SSTL2_II A sample circuit illustrating a valid termination technique for SSTL2_II appears in Figure 52. DC voltage specifications appear in Table 31. SSTL2 Class 1.25V 2.5V CCO 50Ω 25Ω ...

Page 48

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays LVTTL LVTTL requires no termination. DC voltage specifications appears in Table 34. Table 34: LVTTL Voltage Specifications Parameter Min V 3.0 CCO V - REF 2.0 IH ...

Page 49

R LVDS Depending on whether the device is transmitting an LVDS signal or receiving an LVDS signal, there are two different circuits used for LVDS termination. A sample circuit illustrat- ing a valid termination technique for transmitting LVDS sig- nals ...

Page 50

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Termination Resistor Packs Resistor packs are available with the values and the config- uration required for LVDS and LVPECL termination from Bourns, Inc., as listed in Table. For pricing and availability, ...

Page 51

R GCLKPAD3 can also be replaced with the package pin name, such as D17 for the BG432 package. Creating LVDS Input Buffers An LVDS input buffer can be placed in a wide number of IOB locations. The exact location is ...

Page 52

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Creating LVDS Output Buffers LVDS output buffer can be placed in wide number of IOB locations. The exact location are dependent on the package that is used. The Virtex-E package information ...

Page 53

R Creating LVDS Output 3-State Buffers LVDS output 3-state buffers can be placed in a wide number of IOB locations. The exact locations are dependent on the package used. The Virtex-E package information lists the possible locations as IO_L#P for ...

Page 54

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays VHDL Instantiation data0_p: IOBUF_LVDS port map (I=>data_out(0), T=>data_tri, IO=>data_p(0), O=>data_int(0)); data0_inv: INV port map (I=>data_out(0), O=>data_n_out(0)); data0_n : IOBUF_LVDS port map (I=>data_n_out(0), T=>data_tri, IO=>data_n(0), O=>open); Verilog Instantiation IOBUF_LVDS data0_p(.I(data_out[0]), .T(data_tri), .IO(data_p[0]), ...

Page 55

... Accumulated edits and fixes. Upgrade to Preliminary. Preview -8 numbers added. Reformatted to adhere to corporate documentation style guidelines. Minor changes in BG560 pin-out table. • In Table 3 (Module 4), FG676 Fine-Pitch BGA — XCV405E, the following pins are no 09/19/00 1.2 longer labeled as VREF: B7, G16, G26, W26, AF20, AF8, Y1, H1. ...

Page 56

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Virtex-E Extended Memory Data Sheet The Virtex-E Extended Memory Data Sheet contains the following modules: • DS025-1, Virtex-E 1.8V Extended Memory FPGAs: Introduction and Ordering Information (Module 1) • DS025-2, Virtex-E ...

Page 57

... Contact the factory for design considerations requiring more detailed information. Table 1 Extended Memory device with a corresponding speed file designation. Table 1: Virtex-E Extended Memory Device Device XCV405E XCV812E All specifications are subject to change without notice. (1) Description (2) Plastic packages www.xilinx.com ...

Page 58

... Min Max Commercial 1.8 – 1.8 – Commercial 1.2 3.6 Industrial 1.2 3.6 250 Device Min All 1.5 All 1.2 XCV405E XCV812E XCV405E XCV812E All –10 All All Note 2 Note 2 (2) Current Requirement 500 1 DS025-3 (v2.3.2) March 14, 2003 R Units ...

Page 59

R DC Input and Output Levels Values for V and V are recommended input voltages. Values for operating conditions at the V and V OL all standards meet their specifications. The selected standards are tested at minimum ...

Page 60

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays LVDS DC Specifications DC Parameter Supply Voltage Output High Voltage for Q and Q Output Low Voltage for Q and Q Differential Output Voltage (Q – Q High (Q ...

Page 61

... XCV405E 0.51 T IOPID XCV812E 0.55 T All 0.75 IOPLI XCV405E 1.55 T IOPLID XCV812E 1.55 T All 0. 0. 0.18 IOCKIQ T / All 0. IOPICK T IOICKP XCV405E 1. IOPICKD T XCV812E 1. IOICKPD T / All 0.28 / IOICECK T 0.0 IOCKICE T All 0.38 IOSRCKI T All 0.54 IOSRIQ T All 3.88 GSRQ Table 3. www.xilinx.com 1-800-255-7778 6 ...

Page 62

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays IOB Input Switching Characteristics Standard Adjustments Description Data Input Delay Adjustments Standard-specific data input delay adjustments Notes: 1. Input timing i for LVTTL is measured at 1.4 V. For other I/O ...

Page 63

R IOB Output Switching Characteristics, Output delays terminating at a pad are specified for LVTTL with 12 mA drive and fast slew rate. For other standards, adjust the delays with the values shown in (1) Description Propagation Delays O input ...

Page 64

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays IOB Output Switching Characteristics Standard Adjustments Output delays terminating at a pad are specified for LVTTL with 12 mA drive and fast slew rate. For other standards, adjust the delays by ...

Page 65

R Calculation Function of Capacitance ioop T is the propagation delay from the O Input of the IOB to the ioop pad. The values for T are based on the standard capacitive ioop load (Csl) for ...

Page 66

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Clock Distribution Switching Characteristics Description GCLK IOB and Buffer Global Clock PAD to output. Global Clock Buffer I input to O output I/O Standard Global Clock Input Adjustments (1) Description Data ...

Page 67

R CLB Switching Characteristics Delays originating at F/G inputs vary slightly according to the input used, see worst-case. Precise values are provided by the timing analyzer. (1) Description Combinatorial Delays 4-input function: F/G inputs to X/Y outputs 5-input function: F/G ...

Page 68

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays F5IN CLK CE Module COUT LUT ...

Page 69

R CLB Arithmetic Switching Characteristics Setup times not listed explicitly can be approximated by decreasing the combinatorial delays by the setup time adjustment listed. Precise values are provided by the timing analyzer. (1) Description Combinatorial Delays F operand inputs to ...

Page 70

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays CLB Distributed RAM Switching Characteristics (1) Description Sequential Delays Clock CLK to X/Y outputs (WE active mode Clock CLK to X/Y outputs (WE active mode ...

Page 71

R Block RAM Switching Characteristics (1) Description Sequential Delays Clock CLK to DOUT output Setup and Hold Times before Clock CLK ADDR inputs DIN inputs EN input RST input WEN input Clock CLK Minimum Pulse Width, High Minimum Pulse Width, ...

Page 72

... XCV812E ‘‘IOB Output threshold with 35 pF external capacitive load. For other I/O standards and different loads, see CC Symbol Device T XCV405E ICKOF XCV812E ‘‘IOB Output threshold with 35 pF external capacitive load. For other I/O standards and different loads, see CC www.xilinx.com ...

Page 73

... Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays (3) Symbol Device XCV405E 1.5 / –0.4 PSDLL PHDLL XCV812E 1.5 / –0.4 (3) Symbol Device T /T XCV405E PSFD PHFD XCV812E www.xilinx.com 1-800-255-7778 (2) Speed Grade Min -8 -7 1.5 / –0.4 1.6 / –0.4 1.7 / –0.4 1.5 / –0.4 1.6 / –0.4 1.7 / –0.4 (2) Speed Grade Min - ...

Page 74

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays DLL Timing Parameters All devices are 100 percent functionally tested. Because of the difficulty in directly measuring many internal timing parameters, those parameters are derived from benchmark timing patterns. The following ...

Page 75

... Accumulated edits and fixes. Upgrade to Preliminary. Preview -8 numbers added. Reformatted to adhere to corporate documentation style guidelines. Minor changes in BG560 pin-out table. • In Table 3 (Module 4), FG676 Fine-Pitch BGA — XCV405E, the following pins are no 09/19/00 1.2 longer labeled as VREF: B7, G16, G26, W26, AF20, AF8, Y1, H1. ...

Page 76

... Functional Description (Module 2) Module Revision in -6 speed grade for DLL Timing Parameters (Module 3). DLLPW Table 4, FG676 Fine-Pitch BGA — XCV405E, pin B19 is no longer labeled as VREF, Virtex-E Switching Characteristics section. Virtex-E Switching Characteristics Absolute Maximum Ratings, changed (T table. parameter and added footnote to ...

Page 77

R DS025-4 (v1.6) July 17, 2002 Virtex-E Pin Definitions Pin Name Dedicated Pin GCK0, GCK1, Yes GCK2, GCK3 M0, M1, M2 Yes CCLK Yes PROGRAM Yes DONE Yes INIT No BUSY/DOUT No D0/DIN, No D1, D2, D3, D4, D5, D6, ...

Page 78

... Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays BG560 Ball Grid Array Packages XCV405E and the XCV812E Virtex-E Extended Memory devices are available in the BG560 BGA package. Pins labeled I0_VREF can be used as either in all parts unless device-dependent as indicated in the footnotes. If the pin is not used can be used as general I/O ...

Page 79

... IO_L41N_YY 1 IO_VREF_L41P_YY 1 IO_L42N_YY 1 IO_L42P_YY 1 IO_L43N_Y 1 IO_L43P_Y 1 IO_WRITE_L44N_YY 1 IO_CS_L44P_YY DS025-4 (v1.6) July 17, 2002 Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 1: BG560 BGA — XCV405E and XCV812E Pin# Bank Pin Description C14 2 D14 2 A13 2 E14 2 C13 2 IO_DOUT_BUSY_L45P_YY 1 D13 2 IO_DIN_D0_L45N_YY C12 ...

Page 80

... IO_L68P_YY 2 IO_L68N_YY IO_L69P_Y 3 IO_L69N_Y 3 IO_L70P_Y 3 IO_VREF_L70N_Y 3 IO_L71P_Y 3 IO_L71N_Y 3 IO_L72P 3 IO_L72N 3 IO_D4_L73P_YY 3 IO_VREF_L73N_YY 3 IO_L74P_Y 3 IO_L74N_Y 3 IO_L75P 3 IO_L75N 3 IO_L76P_Y 3 IO_VREF_L76N_Y Module Table 1: BG560 BGA — XCV405E and XCV812E Pin# Bank Pin Description IO_D5_L79N_YY R5 3 IO_D6_L80P_YY R4 3 IO_VREF_L80N_YY IO_VREF_L82N_YY AE3 3 IO_VREF_L85N_YY AF3 3 AH3 3 AK3 ...

Page 81

... IO_VREF_L107P_YY 4 IO_L107N_YY 4 IO_L108P_Y 4 IO_L108N_Y 4 IO_L109P_YY 4 IO_L109N_YY 4 IO_VREF_L110P_YY 4 IO_L110N_YY DS025-4 (v1.6) July 17, 2002 Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 1: BG560 BGA — XCV405E and XCV812E Pin# Bank Pin Description AJ6 4 AK5 4 AN3 4 AL5 4 AJ7 4 IO_VREF_L113P_YY AM4 4 AM5 4 AK7 ...

Page 82

... IO_L136P_Y 5 IO_L136N_Y IO_L137N_YY 6 IO_L137P_YY 6 IO_L138N_Y 6 IO_L138P_Y 6 IO_L139N_Y 6 IO_L139P_Y 6 IO_VREF_L140N_Y 6 IO_L140P_Y 6 IO_L141N_Y Module Table 1: BG560 BGA — XCV405E and XCV812E Pin# Bank Pin Description AK23 6 AL24 6 AN26 6 AJ23 6 IO_VREF_L143N_YY AK24 6 1 AM26 6 AM27 6 AJ24 6 AL26 6 AK25 6 IO_VREF_L146N_Y AN29 6 AJ25 6 AK26 6 AM29 6 IO_VREF_L148N_YY ...

Page 83

... IO_L171N_YY 7 IO_L171P_YY 7 IO_L172N_YY 7 IO_VREF_L172P_YY 7 IO_L173N_Y 7 IO_L173P_Y 7 IO_L174N_Y 7 IO_VREF_L174P_Y 7 IO_L175N_Y DS025-4 (v1.6) July 17, 2002 Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 1: BG560 BGA — XCV405E and XCV812E Pin# Bank Pin Description 7 E30 7 F29 7 F33 7 G30 7 IO_VREF_L177P_YY K30 7 U31 7 U32 7 ...

Page 84

... VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT 0 VCCO 0 VCCO 0 VCCO Module Table 1: BG560 BGA — XCV405E and XCV812E Pin# Bank Pin Description B18 0 B28 0 C22 1 C24 E12 H30 K32 N29 3 N33 U30 Y31 ...

Page 85

... GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND DS025-4 (v1.6) July 17, 2002 Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 1: BG560 BGA — XCV405E and XCV812E Pin# Bank Pin Description A12 NA A14 NA A18 NA A20 NA A24 NA A29 NA A32 ...

Page 86

... VREF_0 √ VREF_0 45 √ √ √ VREF_0 www.xilinx.com 1-800-255-7778 BG560 Package Differential Pin Pair Summary XCV405E and XCV812E √ 0 E20 B21 √ 0 C20 D20 VREF_0 0 E19 B20 NA 0 C19 D19 1 0 D18 A19 1 VREF_0 1 E17 C18 NA GCLK LVDS 3/2 1 B17 C17 ...

Page 87

... VREF_3 110 - 111 2 - 112 √ D5 113 √ VREF_3 114 1 - 115 VREF_3 116 - 117 www.xilinx.com 1-800-255-7778 BG560 Package Differential Pin Pair Summary XCV405E and XCV812E 3 AG2 AE4 NA √ 3 AH1 AE5 VREF_3 3 AF4 AJ1 NA 3 AJ2 AF5 2 3 AG4 AK2 1 VREF_3 3 AJ3 ...

Page 88

... VREF_6 177 2 - 178 - 179 VREF_6 180 - 181 √ VREF_6 182 √ - Notes the XCV812E the XCV405E - www.xilinx.com 1-800-255-7778 BG560 Package Differential Pin Pair Summary XCV405E and XCV812E 6 AA31 AA30 1 VREF_6 6 Y29 AA32 1 6 Y30 AA33 NA √ 6 W29 Y32 VREF_6 ...

Page 89

... R FG676 Fine-Pitch Ball Grid Array Package XCV405E Virtex-E Extended Memory devices are available in the FG676 fine-pitch BGA package. Pins labeled I0_VREF can be used as either. If the pin is not used can be used as general I/O. Immediately following REF Table 3, see Table 4 for FG676 package Differential Pair information ...

Page 90

... IO_L36N_Y 1 IO_L36P_Y 1 IO_L37N_YY 1 IO_L37P_YY 1 IO_L38N_YY 1 IO_VREF_L38P_YY 1 IO_L39N_YY 1 IO_L39P_YY 1 IO_L40N_YY 1 IO_L40P_YY 1 IO_L41N_YY 1 IO_VREF_L41P_YY 1 IO_L42N_YY 1 IO_L42P_YY Module Table 3: FG676 Fine-Pitch BGA — XCV405E Pin # Bank Pin Description C15 1 E15 1 D15 1 IO_WRITE_L44N_YY C16 1 IO_CS_L44P_YY F15 G15 2 D16 2 E16 2 A17 2 C17 2 IO_DOUT_BUSY_L45P_YY E17 2 IO_DIN_D0_L45N_YY F16 ...

Page 91

... IO_L69P_YY 3 IO_L69N_YY 3 IO_L70P_Y 3 IO_VREF_L70N_Y 3 IO_L71P_Y 3 IO_L71N_Y 3 IO_L72P_YY DS025-4 (v1.6) July 17, 2002 Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 3: FG676 Fine-Pitch BGA — XCV405E Pin # Bank Pin Description H25 3 K23 3 IO_D4_L73P_YY L20 3 IO_VREF_L73N_YY J26 3 K25 3 L22 3 L21 3 L23 3 M20 ...

Page 92

... IO_L97N 4 IO_VREF_L98P_YY 4 IO_L98N_YY 4 IO_L99P_YY 4 IO_L99N_YY 4 IO_L100P_Y 4 IO_L100N_Y 4 IO_L101P_Y 4 IO_L101N_Y 4 IO_L102P 4 IO_L102N 4 IO_L103P 4 IO_VREF_L103N 4 IO_L104P_YY Module Table 3: FG676 Fine-Pitch BGA — XCV405E Pin # Bank Pin Description W20 4 IO_L104N_YY AC24 4 AB23 4 Y21 4 IO_L106P_YY 4 IO_L106N_YY AA14 4 IO_L107P_YY AC18 4 IO_L107N_YY AE20 4 AE23 4 AF21 4 IO_L109P_YY AC22 ...

Page 93

... IO_VREF_L131N_YY 5 IO_L132P_YY 5 IO_L132N_YY 5 IO_L133P_YY 5 IO_L133N_YY 5 IO_L134P_YY 5 IO_VREF_L134N_YY 5 IO_L135P_YY 5 IO_L135N_YY DS025-4 (v1.6) July 17, 2002 Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 3: FG676 Fine-Pitch BGA — XCV405E Pin # Bank Pin Description AD12 5 AC12 5 AB12 AD11 6 Y12 6 AB11 6 AD10 6 AC11 6 AE10 ...

Page 94

... IO_L157P_Y 6 IO_VREF_L158N_Y 6 IO_L158P_Y 6 IO_L159N_YY 6 IO_L159P_YY IO_L160N_YY 7 IO_L160P_YY 7 IO_L161N_YY 7 IO_L161P_YY 7 IO_L162N_Y 7 IO_VREF_L162P_Y 7 IO_L163N_Y 7 IO_L163P_Y 7 IO_L164N_YY 7 IO_L164P_YY Module Table 3: FG676 Fine-Pitch BGA — XCV405E Pin # Bank Pin Description U4 7 IO_L165N_YY T7 7 IO_VREF_L165P_YY IO_L170N_YY R3 7 IO_L170P_YY R5 7 IO_L171N_YY P8 7 IO_L171P_YY P7 7 IO_L172N_YY R1 7 IO_VREF_L172P_YY ...

Page 95

... TDI 2 TDO NA TMS DS025-4 (v1.6) July 17, 2002 Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 3: FG676 Fine-Pitch BGA — XCV405E Pin # Bank Pin Description D24 4 AB21 4 AB7 AD4 AB6 5 AA22 D22 6 C23 A10 B12 7 D13 7 A13 NA A16 NA A24 NA B15 ...

Page 96

... VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT Module Table 3: FG676 Fine-Pitch BGA — XCV405E Pin # Bank Pin Description B26 NA B24 NA B21 NA B16 NA B11 AF25 NA AF24 NA AF2 NA AE6 NA AE3 NA AE26 NA AE24 NA AE21 NA AE16 NA AE14 NA AE11 NA AE1 NA AD25 NA AD2 NA AD1 NA AA6 NA AA25 NA AA21 AA2 0 A3 ...

Page 97

... VCCO 6 VCCO 6 VCCO 6 VCCO 6 VCCO 7 VCCO 7 VCCO 7 VCCO 7 VCCO DS025-4 (v1.6) July 17, 2002 Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 3: FG676 Fine-Pitch BGA — XCV405E Pin # Bank Pin Description H15 7 N18 7 M19 M18 NA L19 NA K19 NA J19 NA V19 NA U19 ...

Page 98

... GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND Module Table 3: FG676 Fine-Pitch BGA — XCV405E Pin # Bank Pin Description P12 NA P11 NA P10 N17 NA N16 NA N15 NA N14 NA N13 NA N12 NA N11 NA N10 NA M17 NA M16 NA M15 NA ...

Page 99

... VREF 39 √ VREF 44 √ √ √ www.xilinx.com 1-800-255-7778 FG676 Fine-Pitch BGA Differential Pin Pair Summary — XCV405E P N Bank Pin Pin AO √ 0 D11 G12 √ 0 F12 C11 √ 0 E12 A11 0 C12 D12 NA 0 H13 A12 NA 1 F14 B13 NA IO_LVDS_DLL 1 F13 E14 ...

Page 100

... D5 113 √ VREF 114 √ - 115 NA - 116 NA - 117 www.xilinx.com 1-800-255-7778 FG676 Fine-Pitch BGA Differential Pin Pair Summary — XCV405E P N Bank Pin Pin AO √ 3 V20 AA26 √ 3 Y24 W23 3 AA24 Y23 NA 3 AB26 W21 NA 3 Y22 ...

Page 101

... NA - 173 NA VREF 174 NA - 175 √ - 176 √ VREF 177 √ - 178 NA - 179 NA - 180 √ - 181 √ VREF 182 √ - √ www.xilinx.com 1-800-255-7778 FG676 Fine-Pitch BGA Differential Pin Pair Summary — XCV405E P N Bank Pin Pin √ √ √ √ √ ...

Page 102

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays FG900 Fine-Pitch Ball Grid Array Package The XCV812E Virtex-E Extended Memory devices are available in the FG900 fine-pitch BGA package. Pins labeled I0_VREF can be used as either. If the pin ...

Page 103

R Table 5: FG900 Fine-Pitch BGA Package — XCV812E Bank Description 0 IO_L29N 0 IO_L29P 0 IO_L30N_YY 0 IO_L30P_YY 0 IO_VREF_L31N_YY 0 IO_L31P_YY 0 IO_LVDS_DLL_L34N 1 GCk2 ...

Page 104

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 5: FG900 Fine-Pitch BGA Package — XCV812E Bank Description 1 IO_L62P 1 IO_L63N_YY 1 IO_VREF_L63P_YY 1 IO_L64N_YY 1 IO_L64P_YY 1 IO_L66N_Y 1 IO_L66P_Y 1 IO_L67N_Y 1 IO_L67P_Y 1 IO_WRITE_L69N_YY 1 ...

Page 105

R Table 5: FG900 Fine-Pitch BGA Package — XCV812E Bank Description 2 IO_L97P 2 IO_L97N 2 IO_VREF_L98P_YY 2 IO_D3_L98N_YY 2 IO_L99P_YY 2 IO_L99N_YY 2 IO_L100P_Y 2 IO_L100N_Y 2 IO_L101P 2 IO_L101N 2 IO_VREF_2_L102P 2 IO_L102N 2 IO_L104P 2 IO_L104N 2 ...

Page 106

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 5: FG900 Fine-Pitch BGA Package — XCV812E Bank Description 3 IO_L131P_YY 3 IO_VREF_L131N_YY 3 IO_L132P 3 IO_L132N 3 IO_L133P 3 IO_L133N 3 IO_L134P_YY 3 IO_L134N_YY 3 IO_L135P_Y 3 IO_VREF_L135N_Y 3 ...

Page 107

R Table 5: FG900 Fine-Pitch BGA Package — XCV812E Bank Description 4 IO_L165N_YY 4 IO_VREF_4_L166P_YY 4 IO_L166N_YY 4 IO_L167P 4 IO_L167N 4 IO_L168P 4 IO_L168N 4 IO_L169P_YY 4 IO_L169N_YY 4 IO_VREF_4_L170P_YY 4 IO_L170N_YY 4 IO_L171P 4 IO_L171N 4 IO_L172P 4 ...

Page 108

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 5: FG900 Fine-Pitch BGA Package — XCV812E Bank Description 5 IO_VREF_5_L198N_YY 5 IO_L199P_YY 5 IO_L199N_YY 5 IO_L200P 5 IO_L200N 5 IO_L201P 5 IO_L201N 5 IO_L202P_YY 5 IO_VREF_5_L202N_YY 5 IO_L203P_YY 5 ...

Page 109

R Table 5: FG900 Fine-Pitch BGA Package — XCV812E Bank Description 6 IO_L232P_Y 6 IO_L233N 6 IO_L233P 6 IO_L235N 6 IO_L235P 6 IO_VREF_L236N_Y 6 IO_L236P_Y 6 IO_L237N_YY 6 IO_L237P_YY 6 IO_L238N 6 IO_L238P 6 IO_L239N 6 IO_L239P 6 IO_VREF_L240N_YY 6 ...

Page 110

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 5: FG900 Fine-Pitch BGA Package — XCV812E Bank Description 7 IO_VREF_L266P_YY 7 IO_L267N 7 IO_L267P 7 IO_L268N 7 IO_L268P 7 IO_L269N 7 IO_VREF_L269P 7 IO_L270N 7 IO_L270P 7 IO_L271N_Y 7 ...

Page 111

R Table 5: FG900 Fine-Pitch BGA Package — XCV812E Bank Description NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCINT NA VCCO_0 NA VCCO_0 NA VCCO_0 NA ...

Page 112

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 5: FG900 Fine-Pitch BGA Package — XCV812E Bank Description NA VCCO_7 NA VCCO_7 NA VCCO_7 NA VCCO_7 NA VCCO_7 NA VCCO_7 NA VCCO_7 NA VCCO_7 NA GND NA GND NA ...

Page 113

R Table 5: FG900 Fine-Pitch BGA Package — XCV812E Bank Description NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA GND NA ...

Page 114

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 6: FG900 Differential Pin Pair Summary — XCV812E P N Pair Bank Pin Pin B12 G13 - √ K13 A12 √ B13 F13 ...

Page 115

R Table 6: FG900 Differential Pin Pair Summary — XCV812E P N Pair Bank Pin Pin AO √ 113 3 U24 V29 114 3 W30 U22 - 115 3 U21 W29 - √ 116 3 V26 W27 √ 117 3 ...

Page 116

Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays Table 6: FG900 Differential Pin Pair Summary — XCV812E P N Pair Bank Pin Pin AO 201 5 AC11 AG8 - √ 202 5 AK8 AF7 √ 203 5 AG7 AK7 ...

Page 117

... Virtex-E Extended Memory Series Maximum User I/O by Device/Package (Excluding Dedicated Clock Pins) Package BG560 FG676 FG900 Virtex-E Ordering Information Virtex-II ordering information is shown in Example: XCV405E-6BG560C Device Type Speed Grade (-6, -7, -8) DS025-4 (v1.6) July 17, 2002 Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays XCV405E ...

Page 118

... Accumulated edits and fixes. Upgrade to Preliminary. Preview -8 numbers added. Reformatted to adhere to corporate documentation style guidelines. Minor changes in BG560 pin-out table. • In Table 3 (Module 4), FG676 Fine-Pitch BGA — XCV405E, the following pins are no longer 09/19/00 1.2 labeled as VREF: B7, G16, G26, W26, AF20, AF8, Y1, H1. ...

Related keywords