XCV812E-6BG560C Xilinx Inc, XCV812E-6BG560C Datasheet - Page 52

no-image

XCV812E-6BG560C

Manufacturer Part Number
XCV812E-6BG560C
Description
IC FPGA 1.8V C-TEMP 560-MBGA
Manufacturer
Xilinx Inc
Series
Virtex™-E EMr
Datasheet

Specifications of XCV812E-6BG560C

Number Of Logic Elements/cells
21168
Number Of Labs/clbs
4704
Total Ram Bits
1146880
Number Of I /o
404
Number Of Gates
254016
Voltage - Supply
1.71 V ~ 1.89 V
Mounting Type
Surface Mount
Operating Temperature
0°C ~ 85°C
Package / Case
560-LBGA, Metal
Dc
0325
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
XCV812E-6BG560C
Manufacturer:
TE
Quantity:
1 000
Part Number:
XCV812E-6BG560C
Manufacturer:
XilinxInc
Quantity:
3 000
Part Number:
XCV812E-6BG560C
Manufacturer:
Xilinx Inc
Quantity:
10 000
Part Number:
XCV812E-6BG560C
Manufacturer:
XILINX
0
Part Number:
XCV812E-6BG560C
Manufacturer:
XILINX
Quantity:
30
Part Number:
XCV812E-6BG560C
Manufacturer:
XILINX/赛灵思
Quantity:
20 000
Virtex™-E 1.8 V Extended Memory Field Programmable Gate Arrays
Creating LVDS Output Buffers
LVDS output buffer can be placed in wide number of IOB
locations. The exact location are dependent on the package
that is used. The Virtex-E package information lists the pos-
sible locations as IO_L#P for the P-side and IO_L#N for the
N-side where # is the pair number.
HDL Instantiation
Both output buffers are required to be instantiated in the
design and placed on the correct IO_L#P and IO_L#N loca-
tions. The IOB must have the same net source the following
pins, clock (C), set/reset (SR), output (O), output clock
enable (OCE). In addition, the output (O) pins must be
inverted with respect to each other, and if output registers
are used, the INIT states must be opposite values (one
HIGH and one LOW). Failure to follow these rules leads to
DRC errors in software.
VHDL Instantiation
Verilog Instantiation
Location Constraints
All LVDS buffers must be explicitly placed on a device. For
the output buffers this can be done with the following con-
straint in the UCF or NCF file.
Synchronous vs. Asynchronous Outputs
If the outputs are synchronous (registered in the IOB), then
any IO_L#P|N pair can be used. If the outputs are asynchro-
nous (no output register), then they must use one of the
pairs that are part of the same IOB group at the end of a
ROW or at the top/bottom of a COLUMN in the device.
The LVDS pairs that can be used as asynchronous outputs
are listed in the Virtex-E pinout tables. Some pairs are
marked as asynchronous-capable for all devices in that
package, and others are marked as available only for that
device in the package. If the device size might change at
Module 2 of 4
48
data0_p
(I=>data_int(0),
data0_inv: INV
(I=>data_int(0),
data0_n
(I=>data_n_int(0), O=>data_n(0));
OBUF_LVDS data0_p
.O(data_p[0]));
INV
.O(data_n_int[0]);
OBUF_LVDS data0_n
.O(data_n[0]));
NET data_p<0> LOC = D28; # IO_L0P
NET data_n<0> LOC = B29; # IO_L0N
: OBUF_LVDS port map
: OBUF_LVDS port map
data0_inv (.I(data_int[0],
O=>data_p(0));
O=>data_n_int(0));
(.I(data_int[0]),
(.I(data_n_int[0]),
port map
www.xilinx.com
1-800-255-7778
some point in the product lifetime, then only the common
pairs for all packages should be used.
Adding an Output Register
All LVDS buffers can have an output register in the IOB. The
output registers must be in both the P-side and N-side IOBs.
All the normal IOB register options are available (FD, FDE,
FDC, FDCE, FDP, FDPE, FDR, FDRE, FDS, FDSE, LD,
LDE, LDC, LDCE, LDP, LDPE). The register elements can
be inferred or explicitly instantiated in the HDL code.
Special care must be taken to insure that the D pins of the
registers are inverted and that the INIT states of the regis-
ters are opposite. The clock pin (C), clock enable (CE) and
set/reset (CLR/PRE or S/R) pins must connect to the same
source. Failure to do this leads to a DRC error in the soft-
ware.
The register elements can be packed in the IOB using the
IOB property to TRUE on the register or by using the “map
-pr [i|o|b]” where “i” is inputs only, “o” is outputs only and “b”
is both inputs and outputs.
To improve design coding times VHDL and Verilog synthe-
sis macro libraries have been developed to explicitly create
these structures. The output library macros are listed in
Table
nal net connections.
Table 43:
OBUFDS_FD_LVDS
OBUFDS_FDE_LVDS
OBUFDS_FDC_LVDS
OBUFDS_FDCE_LVDS
OBUFDS_FDP_LVDS
OBUFDS_FDPE_LVDS
OBUFDS_FDR_LVDS
OBUFDS_FDRE_LVDS
OBUFDS_FDS_LVDS
OBUFDS_FDSE_LVDS
OBUFDS_LD_LVDS
OBUFDS_LDE_LVDS
OBUFDS_LDC_LVDS
OBUFDS_LDCE_LVDS
OBUFDS_LDP_LVDS
OBUFDS_LDPE_LVDS
43. The O and OB inputs to the macros are the exter-
Name
Output Library Macros
DS025-2 (v2.3) November 19, 2002
D, GE, G, CLR
D, GE, G, PRE
D, CE, C, PRE
D, CE, C, CLR
D, CE, C, R
D, CE, C, S
DD, CE, C
D, G, PRE
D, C, CLR
D, C, PRE
D, G, CLR
D, GE, G
D, C, R
D, C, S
Inputs
D, G
D, C
Outputs
O, OB
O, OB
O, OB
O, OB
O, OB
O, OB
O, OB
O, OB
O, OB
O, OB
O, OB
O, OB
O, OB
O, OB
O, OB
O, OB
R

Related parts for XCV812E-6BG560C