ATF15XX-SAA100 Atmel, ATF15XX-SAA100 Datasheet

no-image

ATF15XX-SAA100

Manufacturer Part Number
ATF15XX-SAA100
Description
ADAPTER FOR ATF15XX-DK2 100TQFP
Manufacturer
Atmel
Datasheets

Specifications of ATF15XX-SAA100

Accessory Type
ATF15xxDK2 Adapter
For Use With/related Products
100-TQFP
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant
CPLD Development/Programmer Kit
..............................................................................................
User Guide

Related parts for ATF15XX-SAA100

ATF15XX-SAA100 Summary of contents

Page 1

CPLD Development/Programmer Kit .............................................................................................. User Guide ...

Page 2

CPLD Development/Programmer Kit User Guide ...

Page 3

... VCC Select Jumper ............................................................................2-7 2.1.5 JTAG Port Header ..............................................................................2-7 2.1.6 Power Connectors ..............................................................................2-8 2.2.1 Expansion Terminal Holes..................................................................2-9 2.3 Atmel CPLD ISP Cable .............................................................................2-9 Section 3 CPLD Design Flow Tutorial .................................................................. 3-1 3.1 Overview ...................................................................................................3-1 3.2 Create a Project Using the “New Project Wizard” .....................................3-1 3.3 Add a Design File ...

Page 4

Table of Contents ii 3300A–PLD–08/02 CPLD Development/Programmer Kit User Guide ...

Page 5

... ATF15xx family of industry-standard pin-compatible Complex Programmable Logic Devices (CPLDs) with Logic Doubling quick and easy way to develop, prototype and evaluate new designs with an ATF15xx CPLD. With the availability of the different Socket Adapter Boards to support all the package types offered in the ATF15xx family of ISP CPLDs, this CPLD Develop- ...

Page 6

... V Operation n CC 84-lead PLCC Socket Adapter Board n Socket Adapter Board Headers n Expansion Terminal Holes for all Input and I/O pins of the ATF15xx Device n 2 MHz Crystal Oscillator n Eight 8-segment LED Displays n Global Clear and Output Enable Push Button Switches n ...

Page 7

... ATF1502AS/ASL ATF1502ASV ATF1502SE/SEL ATF1502AE/AEL ATF1504AS/ASL ATF1504ASV/ASVL ATF1504SE/SEL ATF1504AE/AEL The minimum hardware and software requirements to program an ATF15xx ISP CPLD on the CPLD Development/Programmer Board through the Atmel CPLD ISP Software (ATMISP) V4.0 or later are: ® Pentium or Pentium-compatible microprocessor based computer n ® ® ...

Page 8

... PLCC Socket Adapter Board ATF15xx-SAC49 49-lead BGA Socket Adapter Board ATF15xx-SAJ68 68-lead PLCC Socket Adapter Board ATF15xx-SAJ84 84-lead PLCC Socket Adapter Board ATF15xx-SAA100 100-lead TQFP Socket Adapter Board ATF15xx-SAQ100 100-lead PQFP Socket Adapter Board ATF15xx-SACT100 100-lead BGA Socket Adapter Board ATF15xx-SAA144 ...

Page 9

... ATMISP TUTORIAL. Known Problems & Using Windows Explorer the directory where ATMISP is Solutions installed and open the README.TXT file through any ASCII text editor. ATF15xx Conversion From the POF2JED main window, click on HELP and then select Application Brief CONVERSION OPTIONS. Introduction 1-5 ...

Page 10

Introduction 1-6 3300A–PLD–08/02 CPLD Development/Programmer Kit User Guide ...

Page 11

... ATF15xx CPLD design. Features such as push-button switches, 8-segment display LEDs, 2 MHz crystal oscillator, 5V/3.3V V selector, JTAG-ISP port, and expansion terminal holes make this a very CC versatile starter/development kit and an ISP programmer for the ATF15xx family of JTAG-ISP CPLDs. V Select CC ...

Page 12

... Each segment of the display LED is hard-wired to one specific I/O pin of the ATF15xx. For the higher pin count devices (100-lead and larger), all eight segments of the eight LEDs are connected to the I/O pins of the ATF15xx. However, for the lower pin count devices (84-lead and smaller), only a subset of the LED segments are connected to the ATF15xx's I/O pins ...

Page 13

... NC 1/B NC 1/C NC 1/D NC 1/E NC 1/F NC 1/G NC 1/DOT NC 2/A NC 2/B NC 2/C NC 2/D NC 2/E NC 2/F NC 2/G NC 2/DOT NC Table 2-3. Connections of LEDs to ATF15xx 68-lead PLCC DSP/Sgt PLD Pin # 1/A NC 1/B NC 1/C NC 1/D NC 1/E NC 1/F NC 1/G NC 1/DOT NC 2/A 37 2/B 33 2/C 36 2/D 39 2/E 41 2/F 40 2/G ...

Page 14

... NC 2/A 57 2/B 55 2/C 56 2/D 58 2/E 61 2/F 60 2/G 63 2/DOT NC Table 2-5. Connections of LEDs to ATF15xx 100-lead TQFP DSP/Sgt PLD Pin # 1/A 47 1/B 52 1/C 48 1/D 46 1/E 44 1/F 45 1/G 42 1/DOT 49 2/A 54 2/B 41 2/C 40 2/D 56 2/E 58 2/F 57 2/G ...

Page 15

... Table 2-7. Connections of LEDs to ATF15xx 144-lead TQFP DSP/Sgt PLD Pin # 1/A 79 1/B 78 1/C 74 1/D 80 1/E 82 1/F 81 1/G 83 1/DOT 77 2/A 88 2/B 86 2/C 87 2/D 91 2/E 93 2/F 92 2/G ...

Page 16

... GCLR and GOE signals are connected to GND. The output of the GCLR switch is connected to the GCLR dedicated input pin of the ATF15xx, and it is intended to be used as an active-low reset signal to reset the regis- ters in the ATF15xx. The output of the GOE switch is connected to the OE1 dedicated input pin of the ATF15xx ...

Page 17

... ATF15xx's JTAG port pins (TCK, TDI, TMS and TDO) through the ISP download cable to the parallel printer (LPT) port for ISP programming of the ATF15xx. Table 2-10 shows the pin numbers for the four JTAG port pins of the ATF15xx in all the available package types. ...

Page 18

... ByteBlasterMV cables. In addition, the ATMISP software allows users to choose either the Atmel CPLD ISP Cable or the ByteBlaster/ByteBlasterMV cable to implement ISP. The Atmel CPLD Development/Programmer Board contains two different types of power connectors, and either one can be used to connect power source to power the board ...

Page 19

... This is shown in Figure 2-5. This ISP cable acts as a buffer to buffer the JTAG signals between the PC's LPT port and the ATF15xx on the circuit board. The circuit schematic of the Atmel CPLD ISP Cable is shown in Figure 4-10 and Figure 4-11. ...

Page 20

... PC board (if used for ISP) must match this pinout. Figure 2-6. Atmel ISP Download Cable 10-pin Female Header Pinout Note: The user’s circuit board must supply VCC and GND to the Atmel CPLD ISP Cable through the 10-pin male header (See Figure 2-3). CPLD Development/Programmer Kit User Guide ...

Page 21

... CPLD Development/Programmer Kit User Guide CPLD Design Flow Tutorial This tutorial will guide the user through a complete design cycle for the Atmel ATF15xx CPLD with Logic Doubling architecture. It will go through each phase of the design cycle step-by-step from design entry, logic synthesis, device fitting, in-system programming, and finally verifying the design on the Atmel CPLD Development/Programming Board ...

Page 22

CPLD Design Flow Tutorial 3-2 3300A–PLD–08/02 2. Click on PROJECT .... NEW or double-click on the NEW PROJECT shortcut but- ton to launch the New Project Wizard. (2) Click to create New Project 3. Click on the NEXT button to ...

Page 23

... Schematic design entry through Altium Protel 99SE Note: 1. Design flow require Mentor Graphics support. CPLD Design Flow Tutorial (7) Select the Device Type ™ 99SE ® design entry through Exemplar Leonardo Spectrum ® Leonardo Spectrum software with Atmel CPLD ™ 3-3 3300A–PLD–08/02 ...

Page 24

CPLD Design Flow Tutorial 3-4 3300A–PLD–08/02 9. Select DONE WITH PARTS so that there will be only one device in this project. On the other hand, users can select ADD MORE PARTS to include more parts to the current Project ...

Page 25

CPLD Development/Programmer Kit User Guide 11. Click on the Device Icon [ATF1508AS-10JC84] to view the Design Flow window. Project Sources Window Message Window Project File Window CPLD Design Flow Tutorial Information Dialog Box (11) Click on the Device Icon Design ...

Page 26

... Design File This "LOGIC_D8.PLD" CUPL design that uses the eight 8-segment LED displays and the 2 MHz oscillator on the Atmel CPLD Development/Programmer Board to gener- ate a scrolling message that displays the words "logic doubling" on the LEDs. The GOE push-button switch is used to control the direction that the message scrolls in (left or right) ...

Page 27

... Next, the buried signals for the counter and state machine are declared as PINNODE's as shown below. The feedback and/or the foldback paths available in each macrocell implement these buried signals. For the listing of the pinnode numbers, please refer to the "ATF15xx Device Help" section of the ProChip Designer Help File. pinnode [618,634,650,687]= [CA20..CA17]; pinnode = [CA16..CA0]; ...

Page 28

CPLD Design Flow Tutorial 3-8 3300A–PLD–08/02 The next section of this PLD design is a state machine with 15 states to control the dis- play sequence of the text messages on the LEDs. The GOE push-button switch on the CPLD ...

Page 29

Compile the CUPL Design CPLD Development/Programmer Kit User Guide In this part of the tutorial, the CUPL design will be compiled through the Logic Synthesis process into a set of optimized/minimized logic equations. 1. Click on the CUPL – ...

Page 30

... CUPL compiler tool produces a PLA output file (with exten- sion .pla). A PLA file contains the netlist of the optimized and minimized logic equations now necessary to map this netlist into a specific Atmel PLD architecture using the Atmel Fitter. 1. The user can now proceed to the Device Fitter portion of the Design Flow by clicking on the Atmel Fitter button ...

Page 31

... The ATF15xx Family devices Logic Doubling features provide extra I/O connectivity and logic reusability. Some of the Logic Doubling features available in the ATF15xx family of CPLDs are: Bury either Register or Combinatorial signal while using the other for output n Dual independent feedback allows multiple latch functions per macrocell ...

Page 32

... To create a new chain file, the ATMISP Software first needs to be launched either through the PROGRAM CHIP button in the ProChip Designer window, the ATMISP desktop icon or the Start ... Programs .. Atmel ISP menu. If ATMISP is launched through ProChip Designer, steps below can be skipped since ProChip Designer will automatically setup the appropriate chain file for the ISP operation ...

Page 33

... Select the appropriate LPT port in the Port Setting field. LPT 1 is the default port. 14. Select the ISP download cable type in the Cable Types field. The default cable type is the Atmel ISP Cable but it can be changed to the Altera ByteBlaster cable if the ByteBlaster cable is being used. ...

Page 34

CPLD Design Flow Tutorial 3-14 3300A–PLD–08/02 15. Click on the Run button in the ATMISP main window to execute the JTAG instruction to program the ATF1508AS on the CPLD Development/Programmer Board. After successfully programming the ATF1508AS with the LOGIC_D8.JED file, ...

Page 35

CPLD Development/Programmer Kit User Guide Section 4 Schematic Diagrams Rev. 3300A–PLD–08/02 4-1 ...

Page 36

... Schematic Diagrams Figure 4-1. Schematic Diagram of the Atmel CPLD Development/Programmer Board 4-2 3300A–PLD–08/02 CPLD Development/Programmer Kit User Guide ...

Page 37

...

Page 38

...

Page 39

...

Page 40

...

Page 41

...

Page 42

...

Page 43

Figure 4-8. Schematic Diagram of 144-pin TQFP Socket Adapter Board CPLD Development/Programmer Kit User Guide Schematic Diagrams 4-9 3300A–PLD–08/02 ...

Page 44

Schematic Diagrams Figure 4-9. Schematic Diagram of 160-pin PQFP Socket Adapter Board 4-10 3300A–PLD–08/02 CPLD Development/Programmer Kit User Guide ...

Page 45

...

Page 46

...

Page 47

... No licenses to patents or other intellectual property of Atmel are granted by the Company in connection with the sale of Atmel products, expressly or by implication. Atmel’s products are not authorized for use as critical components in life support devices or systems. ...

Related keywords