AGLP-EVAL-KIT Actel, AGLP-EVAL-KIT Datasheet

MCU, MPU & DSP Development Tools IGLOO PLUS Starter Kit

AGLP-EVAL-KIT

Manufacturer Part Number
AGLP-EVAL-KIT
Description
MCU, MPU & DSP Development Tools IGLOO PLUS Starter Kit
Manufacturer
Actel
Datasheet

Specifications of AGLP-EVAL-KIT

Processor To Be Evaluated
CSG289
Interface Type
USB, JTAG
Operating Supply Voltage
1.2 V to 1.5 V
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
IGLOO PLUS Starter Kit
User’s Guide

Related parts for AGLP-EVAL-KIT

AGLP-EVAL-KIT Summary of contents

Page 1

IGLOO PLUS Starter Kit User’s Guide ...

Page 2

... Actel makes no warranties with respect to this documentation and disclaims any implied warranties of merchantability or fitness for a particular purpose. Information in this document is subject to change without notice. Actel assumes no responsibility for any errors that may appear in this document. This document contains confidential proprietary information that is not to be disclosed to any unauthorized person without prior written consent of Actel Corporation ...

Page 3

... IGLOO PLUS Starter Kit Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 1 Board Components and Settings . . . . . . . . . . . . . . . . . . . . . . . . . 7 Board Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 IGLOO PLUS Board Stackup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 Connectors, Jumpers, and Switch Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 2 FPGA Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 Key Features of AGLP125-CSG289 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 Power and Ground Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 Bank I/O Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 JTAG Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Decaps and Ground Post Schematics . . . . . . . . . . . . . . . . . . . . . . . . 22 3 Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 Power Modes ...

Page 4

... Table of Contents B Product Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 Customer Service . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 Actel Customer Technical Support Center . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 Actel Technical Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 Website . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 Contacting the Customer Technical Support Center . . . . . . . . . . . . . . . . . . . . . . . . 57 Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59 4 IGLOO PLUS Starter Kit User’s Guide ...

Page 5

... IGLOO PLUS Starter Kit User’s Guide Table 1 lists the contents of the box. Table 1 · IGLOO PLUS Starter Kit Contents IGLOO PLUS board with AGLP125 IGLOO PLUS FPGA Programmer for use with IGLOO PLUS board 5 V power supply USB 2.0 high-speed cables Packet of jumpers Actel Libero® ...

Page 6

...

Page 7

... Design Environment (IDE) tool suite now includes power-driven layout (PDL), which can reduce the power consumption of designs percent. The evaluation board has a small form factor, measuring 3.7 inches by 4 inches, and supports an AGLP125 IGLOO PLUS device in the 14 mm × CSG289 package. All components used on the board, such as LEDs, reset (μA range), and oscillator, are low-power components ...

Page 8

... IGLOO PLUS Board Stackup The IGLOO PLUS board is built on a 10-layer PCB. bottom (L10) silkscreens. The full PCB design layout is provided on the Actel website, on the IGLOO PLUS Starter Kit page: http://www.actel.com/products/hardware/devkits_boards/iglooplus_starter.aspx. To view the PCB design layout files, you can use the Allegro Free Physical Viewer, which can be downloaded from the Downloads page ...

Page 9

IGLOO PLUS Starter Kit User’s Guide Figure 1-2 · IGLOO PLUS Top Silkscreen (L1) IGLOO PLUS Board Stackup 9 ...

Page 10

Board Components and Settings 10 Figure 1-3 · IGLOO PLUS Bottom Silkscreen (L10) IGLOO PLUS Starter Kit User’s Guide ...

Page 11

Connectors, Jumpers, and Switch Settings Recommended default jumper settings are defined in Connect jumpers in the default settings described in correctly. Jumper Default Setting Pin 2-3 J7 Remove J8 Pin 2-3 J9 Pin 1-4 ...

Page 12

Board Components and Settings Jumper Default Setting J19 Pin 2-4 J20 Pin 2-3 J21 Pin 1-2 J22 Pin 2-3 J23-J24 Pin 1-2 J25-J27 Pin 1-2 J28-J35 Pin 1-2 12 Table 1-1 · Jumper and Connector Settings (continued) Select 3.3 V, ...

Page 13

Jumper Default Setting J36-J39 Pin 1-2 J40-J47 Pin 1-2 Switch Default Setting SW1–SW4 SW5 CLOSE DSW5 CLOSE SW7 SW8 OFF IGLOO PLUS Starter Kit User’s Guide Table 1-1 · Jumper and Connector Settings (continued) Remove each jumper to disconnect any ...

Page 14

...

Page 15

... FPGA Description The IGLOO PLUS board is populated with an IGLOO PLUS AGLP125-CSG289 FPGA. Key Features of AGLP125-CSG289 • Low power • 1 1.5 V core voltage support for low power • Supports single-voltage system operation • 5 μW power consumption in Flash*Freeze mode • Low-power active FPGA operation • ...

Page 16

... VCCIB1_2 L14 VCCIB1_3 M17 VCCIB1_4 N10 VCCIB2_1 P13 VCCIB2_2 R6 VCCIB2_3 T9 VCCIB2_4 E1 VCCIB3_1 F4 VCCIB3_2 J3 VCCIB3_3 M2 VCCIB3_4 AGLP125-CSG289 Figure 2-1 · Power and Ground Pins for AGLP125-CSG289 A4 GND1 A9 GND2 A14 GND3 B2 GND4 B17 GND5 C10 GND6 C15 GND7 D3 GND8 D8 GND9 D13 GND10 F14 ...

Page 17

... IO32RSB0 GBA0/IO61RSB0 C9 IO33RSB0 GBA1/IO62RSB0 D9 IO34RSB0 GBB0/IO59RSB0 A10 IO35RSB0 GBB1/IO60RSB0 E10 IO36RSB0 GBC0/IO57RSB0 B10 IO37RSB0 GBC1/IO58RSB0 AGLP125-CSG289 Figure 2-2 · Bank 0 I/O Signals for AGLP125-CSG289 Bank I/O Signals GPIO TP96 TP96 A11 TP TP GPIO TP116 TP116 B11 TP TP GPIO A12 TP79 TP79 ...

Page 18

... IO95RSB1 TP30 TP30 AGL_B1_PIN_M16 M16 IO96RSB1 AGL_B1_PIN_M15 TP18 TP18 M15 IO97RSB1 TP41 TP41 AGL_B1_PIN_L15 L15 IO98RSB1 AGLP125-CSG289 Figure 2-3 · Bank 1 I/O Signals for AGLP125-CSG289 U5B U5B AGL_B1_PIN_E14 TP39 TP39 E14 GBA2/IO63RSB1 AGL_B1_PIN_E15 TP104 TP104 E15 GBB2/IO65RSB1 AGL_B1_PIN_F13 F13 TP42 TP42 ...

Page 19

... IO131RSB2 P9 IO132RSB2 U10 IO133RSB2 GDA2/IO105RSB2 R9 IO134RSB2 GDB2/IO106RSB2 M9 IO135RSB2 GDC2/IO107RSB2 U9 IO136RSB2 GEA2/IO164RSB2 N9 IO137RSB2 FF/GEB2/IO163RSB2 U8 IO138RSB2 GEC2/IO162RSB2 AGLP125-CSG289 Figure 2-4 · Bank 2 I/O Signals for AGLP125-CSG289 Bank I/O Signals TP156 TP156 TP TP AGL_B2_PIN_T8 T8 IO139RSB2 TP176 TP176 TP TP AGL_B2_PIN_T7 T7 IO140RSB2 TP167 TP167 TP TP AGL_B2_PIN_R8 R8 ...

Page 20

... GFC0/IO193RSB3 F2 IO196RSB3 GFC1/IO194RSB3 H4 IO197RSB3 GFC2/IO186RSB3 G3 IO198RSB3 H5 IO199RSB3 E2 IO200RSB3 G5 IO201RSB3 F3 IO202RSB3 G4 IO203RSB3 D1 IO204RSB3 D2 IO205RSB3 G6 IO206RSB3 F6 IO208RSB3 C1 IO210RSB3 AGLP125-CSG289 Figure 2-5 · Bank 3 I/O Signals for AGLP125-CSG289 AGL_B3_PIN_E4 TP235 TP235 AGL_B3_PIN_F5 TP203 TP203 AGL_B3_PIN_E3 TP218 TP218 AGL_B3_PIN_N4 TP245 TP245 AGL_B3_PIN_T1 TP227 TP227 T1 TP ...

Page 21

... JTAG Pins The AGLP125-CSG289 has advanced I/O features such as JTAG pins for IEEE 1149.1 JTAG Boundary Scan Test. These pins are utilized during programming of the FPGA for these dedicated JTAG pins. The JTAG pins can be run at any voltage from 1 3.3 V (nominal powered for the JTAG state machine to operate, even if the device is in bypass mode ...

Page 22

FPGA Description Decaps and Ground Post Schematics The schematics for the decaps and ground post are shown in VCCI_0 22 Figure DECAPS FOR VCORE VCORE DECAPS FOR I/O BANK0 , BANK1 ,BANK2 & BANK3 VCCI_1 VCCI_2 + + + + ...

Page 23

Power The IGLOO PLUS development board is powered through an external voltage power brick or USB. The board does not switch seamlessly between the power brick and USB, so the 4-pin header and jumper must be used to select the ...

Page 24

... The ULSICC macro, when enabled, disables the FlashROM, reducing the overall power of the device. Table 3-2 gives a summary of the power modes available with IGLOO PLUS devices in general and is extracted from the “Actel’s Flash*Freeze Technology and Low Power Modes” chapter of the Mode V ...

Page 25

Battery In addition to the power brick and USB, this board provides the option to power-up via battery. No battery casing is provided on the board. Jumpers should be set correctly to select the option of either powering through a ...

Page 26

Power Current Measurement Once the IGLOO PLUS evaluation board is powered up, you can evaluate power consumption using the current measurement four-pin headers on the board the board. Set the multimeter to measure current and attach the probes to pins ...

Page 27

IGLOO PLUS Starter Kit User’s Guide Current Measurement 3.3 V 3 2 2.5 V 1.5 V 3.3 V 3.3 V Figure 3-6 · Current Measurement Headers for Power Rails Current Measurement IGLOO ...

Page 28

Power The schematic in Figure 3-7 1V5_1V2 J19 J19 3 V3P3 2 4 2V5 1 4PIN_HEADER 4PIN_HEADER 1V5_1V2 J16 J16 3 V3P3 2 4 2V5 1 4PIN_HEADER 4PIN_HEADER 28 shows the options for power-up. 1V5_1V2 J11 J11 ...

Page 29

... The PLL can be configured and instantiated in the FPGA to generate a wide range of clock frequencies. Reference For more information, refer to the IGLOO PLUS Starter Kit website page: http://www.actel.com/products/hardware/devkits_boards/iglooplus_starter.aspx. Schematic Figure 4-1 shows the schematic for the clock oscillator. ...

Page 30

... FF pin AND user-defined logic. Flash*Freeze management IP can be used in type 2 mode for clock and data management while entering and exiting Flash*Freeze mode. For more information and detailed usage of Flash*Freeze modes, refer to the “Actel’s Flash*Freeze Technology and Low Power Modes” chapter of the ...

Page 31

... Flash*Freeze Mode Control Flash*Freeze (FF) Pin Figure 4-4 · Flash*Freeze Mode Type 1 – Controlled by the Flash*Freeze Pin Flash*Freeze Pin IGLOO PLUS Starter Kit User’s Guide Actel IGLOO, IGLOO PLUS, IGLOO nano, ProASIC3L ProASIC3 Device INBUF_FF To FPGA Core or Floating Flash*Freeze Enables Entering ...

Page 32

... Operation of Board Components Flash*Freeze Type 2: Control by Dedicated Flash*Freeze Pin and Internal Logic The device can be made to enter Flash*Freeze mode by activating the FF pin together with Actel's Flash*Freeze management IP core or user-defined control logic to perform important activities before allowing the device to enter Flash*Freeze mode, such as transitioning into a safe state, completing the processing of a critical event ...

Page 33

Table 4-1 · Flash*Freeze Mode Type 1 and Type 2 – Signal Assertion and Deassertion Values Signal Flash*Freeze (FF) pin LSICC signal Notes: 1. The Flash*Freeze (FF) pin is an active-Low signal, and LSICC is an active-High signal. 2. The ...

Page 34

Operation of Board Components Table 4-2 · IGLOO PLUS Flash*Freeze Mode (type 1 and type 2)—I/O Pad State (continued) Buffer Type Output Bidirectional / Tristate Buffer (input/tristate (output) Notes: 1. Internal core logic driven by this input ...

Page 35

Flash*Freeze Variant Dip Switch Two regular DIP switches are located on the board, next to the FET LEDs programmed to help debug or demonstrate the Flash*Freeze variants. Refer to the demo design that demonstrates the Flash*Freeze variants with these switches. ...

Page 36

Operation of Board Components Flash*Freeze Variant FET LEDs These FET LEDs can be used for debugging, such as for viewing the state of I/Os in Flash*Freeze mode. These LEDs can be activated (ON) before entering Flash*Freeze mode, and have the ...

Page 37

Push-Button Switches Four active low push-button switches are provided on the board for debug purposes. You can remove the corresponding jumpers to detach or isolate any of the four push-button test switches from the FPGA I/O. Schematics are shown in ...

Page 38

Operation of Board Components DIP Switches A DIP switch pack (8 switches) is provided on the board corresponding jumpers to detach or isolate any of the eight DIP Switches from the FPGA I/Os. 38 (Figure 4-11 DSW5 DSW5 1 16 ...

Page 39

User LEDs Eight active low debug LEDs are provided on the board corresponding jumpers from the 8 × 2 headers to detach or isolate any of the eight LEDs from the FPGA I/Os. IGLOO PLUS Starter Kit User’s Guide (Figure ...

Page 40

Operation of Board Components I/O Test Pins All IGLOO PLUS FPGA I/Os are available on headers located on the top and bottom of the device Figure 4-18). These test pins are multiples of 100 mils apart, so developers can easily ...

Page 41

... PLUS FPGA (Figure text. The demo design included in this kit contains a roulette game that uses the OLED for display and the push-button switch for game control. Additional OLED info is available at the IGLOO PLUS Starter Kit website page: http://www.actel.com/products/hardware/devkits_boards/iglooplus_starter.aspx. VP_10V + + C21 ...

Page 42

Operation of Board Components Interface Connector A standard interface connector on the board can be used to connect additional daughter cards, some of which are developed by partners and third party vendors SRAM memory interfaces, keyboard interfaces for embedded applications, ...

Page 43

... Any standard UART controller can be implemented in the IGLOO PLUS FPGA to allow access with this interface. In addition, Actel IP catalog includes various UART controllers, specifically CoreUART, which can be instantiated in the FPGA design with an embedded processor. CoreUART controller supports both asynchronous and synchronous modes with configurable parameters for various applications ...

Page 44

... Some advantages of the SPI interface are full duplex communication and higher throughput than I2C. In the schematics shown in on-board. Winbond and Atmel SPI flash datasheets are available at the IGLOO PLUS Starter Kit website page: http://www.actel.com/products/hardware/devkits_boards/iglooplus_starter.aspx [4] SPI_DIO [4] SPI_DO ...

Page 45

... You do not need to have the LCPS connected to the IGLOO PLUS board to operate it, after the FPGA has been programmed. The LCPS must be connected to the Actel IGLOO PLUS board only when programming the AGLP125-CSG289. Note: The LCPS supplied with this kit is intended for use with the IGLOO PLUS Starter Kit. An LCPS supplied for other kits, although electrically and functionally equivalent, may not connect seamlessly with the IGLOO PLUS Starter Kit board ...

Page 46

Operation of Board Components [3] VJTAGENB [5] [5] [ Programmer 1 VJTAGENB TCK 3 TMS TMS GND3 5 GND2 TDI 7 VJTAG VJTAG TRSTB 9 TDO TDO VPUMP 11 GND4 GND5 HEADER 6x2/SM HEADER 6x2/SM 6X2 Right ...

Page 47

LCPS Stackup The LCPS is built on a four-layer PCB with the layers arranged in the following stackup: 1. Top signal layer 2. Ground plane 3. Power plane 4. Bottom signal layer IGLOO PLUS Starter Kit User’s Guide (Figure 4) ...

Page 48

Operation of Board Components 48 Figure 4-26 · Low-Cost Programming Stick – Bottom Silkscreen IGLOO PLUS Starter Kit User’s Guide ...

Page 49

... Attach a USB cable to the LCPS. This allows a programming data file, in programming database format (*.pdb) or STAPL format (*.stp downloaded via the FlashPro software to the Actel IGLOO PLUS device fitted to the board separate USB connection is required for the IGLOO PLUS Board if no other power source (power brick) is attached to the IGLOO PLUS board ...

Page 50

...

Page 51

... Flash*Freeze variants can be demonstrated using the F*F switches and FET LEDs. The IGLOO PLUS demo design RTL and design files are available at the IGLOO PLUS Starter Kit website page: http://www.actel.com/products/hardware/devkits_boards/iglooplus_starter.aspx. Refer to the Quick Start Guide available on the website to run the demo. ...

Page 52

IGLOO PLUS Board Demo Demo 2 – OLED Interface Demonstration This demo includes a simple Roulette game provided by Avnet Memec that demonstrates control and operation of the OLED display. 1. Press SW1 to begin a bet and press SW1 ...

Page 53

When HOLD is disabled at the output buffer, the output will depend on the resister pull-up or pull-down direction in Flash*Freeze mode. If HOLD is enabled at the output buffer, then the output will depend on the state right before ...

Page 54

IGLOO PLUS Board Demo The FET Truth Table configured for this demo design. For the output FET LEDs, NORMAL represents the LED state before entering and after exiting Flash*Freeze mode, while F*F Mode represents the LED state during Flash*Freeze mode. ...

Page 55

... Resources IGLOO PLUS Starter Kit http://www.actel.com/products/hardware/devkits_boards/iglooplus_starter.aspx IGLOO PLUS Overview http://www.actel.com/products/iglooplus/default.aspx IGLOO PLUS Datasheet http://www.actel.com/documents/IGLOOPLUS_DS.pdf IGLOO PLUS FPGA Fabric User’s Guide http://www.actel.com/documents/IGLOOPLUS_UG.pdf Libero IDE Design Software http://www.actel.com/products/software/libero/default.aspx [BOOK TITLE IS A VARIABLE] [BOOK SUBTITLE IS A VARIABLE ...

Page 56

...

Page 57

... Fax, from anywhere in the world 650.318.8044 Actel Customer Technical Support Center Actel staffs its Customer Technical Support Center with highly skilled engineers who can help answer your hardware, software, and design questions. The Customer Technical Support Center spends a great deal of time creating application notes and answers to FAQs ...

Page 58

... The phone hours are from 7: Pacific Time, Monday through Friday. The Technical Support numbers are 650.318.4460 800.262.1060 Customers needing assistance outside the US time zones can either contact technical support via email (tech@actel.com) or contact a local sales office. 58 Sales office listings can be found at www.actel.com/contact/offices/index.html IGLOO PLUS Starter Kit User’ ...

Page 59

... Actel electronic mail 57 telephone 58 web-based technical support 57 website 57 B board bottom silkscreen 10 description 7 stackup 8 top silkscreen 9 C contacting Actel customer service 57 electronic mail 57 telephone 58 web-based technical support 57 contents 5 current measurement 26 customer service 57 D demo Flash*Freeze variant configuration settings of demo design 52 IGLOO PLUS counter 51 ...

Page 60

Index submit case online 57 U USB-to-UART interface 43 60 User LEDs 39 W web-based technical support 57 IGLOO PLUS Starter Kit User’s Guide ...

Page 61

...

Page 62

... Phone 650.318.4200 • Fax 650.318.4600 • Customer Service: 650.318.1010 • Customer Applications Center: 800.262.1060 Actel Europe Ltd. • River Court, Meadows Business Park • Station Approach, Blackwater • Camberley Surrey GU17 9AB • United Kingdom Phone +44 (0) 1276 609 300 • Fax +44 (0) 1276 607 540 Actel Japan • ...

Related keywords