gs2965 Gennum Corporation, gs2965 Datasheet - Page 2

no-image

gs2965

Manufacturer Part Number
gs2965
Description
Gs2965 Multi-rate Sdi Reclocker With Equalization & De-emphasis
Manufacturer
Gennum Corporation
Datasheet
GS2965 Multi-Rate SDI Reclocker with Equalization &
De-emphasis
Product Brief
52282 - A
DDI0
DDI0
DDI1
DDI1
Equalizer/
Data Mux
Detect
LOS
LOS
April 2009
XTAL+
XTAL
OSC
XTAL-
SPI
Frequency
Selectable
Detector
Detector
Phase
Phase
Divide
GS2965 Functional Block Diagram
CP_CAP
LDO
Charge
Pump
LF+
LOCKED
Control
Selectable
SD/HD
Divide
Retimer
VCO
Proprietary & Confidential
Buffer
Clock/
Buffer
Data
Data
1.8V
VDD_1p8
LDO
DDO0
DDO1/RCO
DDO0
DDO1/RCO
2 of 3

Related parts for gs2965