ex256-ptq64pp Actel Corporation, ex256-ptq64pp Datasheet - Page 8

no-image

ex256-ptq64pp

Manufacturer Part Number
ex256-ptq64pp
Description
Ex Family Fpgas
Manufacturer
Actel Corporation
Datasheet
Clock Resources
eX’s high-drive routing structure provides three clock
networks. The first clock, called HCLK, is hardwired from
the HCLK buffer to the clock select MUX in each R-Cell.
HCLK cannot be connected to combinational logic. This
provides a fast propagation path for the clock signal,
enabling
performance of the eX devices. The hard-wired clock is
tuned to provide a clock skew of less than 0.1 ns worst
case. If not used, the HCLK pin must be tied LOW or HIGH
and must not be left floating.
clock circuit used for the constant load HCLK.
HCLK does not function until the fourth clock cycle each
time the device is powered up to prevent false output
levels due to any possible slow power-on-reset signal and
fast start-up clock circuit. To activate HCLK from the first
cycle, the TRST pin must be reserved in the Design
software and the pin must be tied to GND on the board.
(See the
26).
Figure 1-6 • eX Routed Clock Buffer
Table 1-1 • Connections of Routed Clock Networks, CLKA
1 -4
Module
C-Cell
R-Cell
I/O-Cell
eX Family FPGAs
"TRST, I/O Boundary Scan Reset Pin" on page 1-
the
and CLKB
3.9
CLKA, CLKB, S0, S1, PSET, and CLR
ns
clock-to-out
A0, A1, B0 and B1
Figure 1-5
Pins
EN
describes the
(pad-to-pad)
CLKBUFI
CLKBUF
CLKINTI
v4.3
CLKINT
The remaining two clocks (CLKA, CLKB) are global routed
clock networks that can be sourced from external pins or
from internal logic signals (via the CLKINT routed clock
buffer) within the eX device. CLKA and CLKB may be
connected to sequential cells or to combinational logic. If
CLKA or CLKB is sourced from internal logic signals, the
external clock pin cannot be used for any other input
and must be tied LOW or HIGH and must not float.
Figure 1-6
devices.
Table 1-1
routed clock networks, CLKA and CLKB.
Unused clock pins must not be left floating and must be
tied to HIGH or LOW.
Figure 1-5 • eX HCLK Clock Pad
describes the CLKA and CLKB circuit used in eX
describes the possible connections of the
From Internal Logic
Clock Network
HCLKBUF
Constant Load
Clock Network

Related parts for ex256-ptq64pp