XC4VFX20-11FFG672C Xilinx Inc, XC4VFX20-11FFG672C Datasheet - Page 52

no-image

XC4VFX20-11FFG672C

Manufacturer Part Number
XC4VFX20-11FFG672C
Description
IC FPGA VIRTEX-4 FX 20K 672-FBGA
Manufacturer
Xilinx Inc
Series
Virtex™-4r

Specifications of XC4VFX20-11FFG672C

Number Of Logic Elements/cells
19224
Number Of Labs/clbs
2136
Total Ram Bits
1253376
Number Of I /o
320
Voltage - Supply
1.14 V ~ 1.26 V
Mounting Type
Surface Mount
Operating Temperature
0°C ~ 85°C
Package / Case
672-BBGA, FCBGA
For Use With
HW-V4-ML405-UNI-G - EVALUATION PLATFORM VIRTEX-4
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
Number Of Gates
-

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
XC4VFX20-11FFG672C
Manufacturer:
XilinxInc
Quantity:
3 000
Part Number:
XC4VFX20-11FFG672C
Manufacturer:
Xilinx Inc
Quantity:
10 000
Part Number:
XC4VFX20-11FFG672C
Manufacturer:
XILINX
0
Chapter 1: Clock Resources
52
BUFIO VHDL and Verilog Templates
VHDL Template
Verilog Template
Declaring Constraints in UCF File
The following examples illustrate the instantiation of the BUFIO module in VHDL and
Verilog.
--Example BUFIO declaration
component BUFIO
port(
end component;
--Example BUFIO instantiation
U_BUFIO : BUFIO
Port map (
--Declaring constraints in VHDL file
attribute LOC : string;
attribute LOC of U_BUFIO: label is "BUFIO_X#Y#";
--where # is valid integer locations of BUFIO
//Example BUFIO module declaration
module BUFIO (O, I);
endmodule;
//Example BUFIO instantiation
BUFIO U_BUFIO (
.O(user_o),
.I(user_i)
);
// Declaring constraints in Verilog
// synthesis attribute LOC of U_BUFIO is "BUFIO_X#Y#";
// where # is valid integer locations of BUFIO
INST "U_BUFIO" LOC = BUFIO_X#Y#;
where # is valid integer locations of BUFIO
O: out std_ulogic;
I: in
);
O => user_o,
I0 => user_i
);
output O;
input I;
std_ulogic
www.xilinx.com
UG070 (v2.6) December 1, 2008
Virtex-4 FPGA User Guide
R

Related parts for XC4VFX20-11FFG672C