co561ad-d Connect One Ltd., co561ad-d Datasheet

no-image

co561ad-d

Manufacturer Part Number
co561ad-d
Description
The Co561ad-d Ichip Plus? Internet Controller? Is A Low-cost Intelligent Peripheral Device That Provides Internet Connectivity Solutions To A Myriad Of Embedded Devices.
Manufacturer
Connect One Ltd.
Datasheet
Pub. No. 11-3300-02, February 2003
iChip Plus CO561AD-D
iChip Plus
Datasheet
Ver. 2.15
International:
Connect One Ltd.
2 Hanagar Street
Kfar Saba 44425, Israel
Tel: +972-9-766-0456
Fax: +972-9-766-0461
E-mail: info@connectone.com
http://www.connectone.com
TM
USA:
Connect One Semiconductors, Inc.
15818 North 9th Ave.
Phoenix, AZ 85023
Tel: 408-986-9602
Fax: 602-485-3715
E-mail: info@connectone.com
http://www.connectone.com

Related parts for co561ad-d

co561ad-d Summary of contents

Page 1

... Plus iChip Plus CO561AD-D Datasheet Pub. No. 11-3300-02, February 2003 TM Ver. 2.15 International: Connect One Ltd. 2 Hanagar Street Kfar Saba 44425, Israel Tel: +972-9-766-0456 Fax: +972-9-766-0461 E-mail: info@connectone.com http://www.connectone.com USA: Connect One Semiconductors, Inc. 15818 North 9th Ave. Phoenix, AZ 85023 ...

Page 2

... Connect One. iChip, iChip LAN, iChip Plus, Socket iChip, Embedded iModem, Internet Controller, iConnector, iLAN, iModem, Instant Internet, AT+i, and Connect One are trademarks of Connect One Ltd. Copyright  2000 - 2003 Connect One Ltd. All rights reserved. iChip CO561AD-D Datasheet ii ...

Page 3

... January 2003 2.15 February 2003 iChip CO561AD-D Datasheet Description First REV of iChip Plus CO561AD-D. Corrected Error in pin numbers for ~CDM, ~DTRM Added Crystal 8900 parameters. Changed C9 on iModem reference design to 22pF. Added DC characteristic parameters. Added recommendation to short CTS to RTS and DSR to GND when not used. ...

Page 4

... Local BUS Connection to Ethernet Controller ............................................ 3-3 4 Hardware Interface................................................................................................. 4-1 4.1 Host Interface............................................................................................... 4-1 4.2 LAN Interface .............................................................................................. 4-1 4.3 Serial Modem Interface................................................................................ 4-1 4.4 Dual Interface............................................................................................... 4-2 5 Pin Descriptions....................................................................................................... 5-1 5.1 iChip Plus CO561AD-D Pin Assignments .................................................. 5-1 5.2 iChip Plus Pin Functional Descriptions ....................................................... 5-2 5.2.1 Local BUS Signals....................................................................................... 5-2 5.2.2 Miscellaneous Signals.................................................................................. 5-4 5.2.3 Host Interface Signals .................................................................................. 5-5 5.2.4 iChip Plus Serial Modem Signals ................................................................ 5-7 6 Electrical/Mechanical Specifications ..................................................................... 6-1 6.1 Environmental Specifications ...

Page 5

... Ethernet Controller Environment with RTL8019AS (5V only) .................. 7-1 7.3 Selecting a Crystal ....................................................................................... 7-2 7.4 Selecting the Reset Circuit........................................................................... 7-3 7.4.1 RC Network ................................................................................................. 7-3 7.4.2 Supervisory Circuit ...................................................................................... 7-3 8 Protocol Compliance ............................................................................................... 8-1 9 List of Terms and Acronyms.................................................................................. 9-1 iChip CO561AD-D Datasheet Contents v ...

Page 6

... Figure 1-1 iChip Plus Functional Block Diagram .......................................................... 1-2 Figure 4-1 iChip Plus CO561AD-D with LAN and serial modem interface ................... 4-2 Figure 5-1 PLCC68 Package for iChip Plus CO561AD-D Version ............................... 5-1 Figure 6-1 Local BUS Read Cycle................................................................................... 6-4 Figure 6-2 Local BUS Write Cycle.................................................................................. 6-5 Figure 6-3 Clock Waveform............................................................................................. 6-5 Figure 6-4 Mechanical Dimensions................................................................................. 6-6 Figure 7-1 CS8900A Ethernet Controller Environment.................................................. 7-1 Figure 7-2RTL8019AS Ethernet Controller Environment ...

Page 7

... Table 4-1 Host Data Format............................................................................................ 4-1 Table 4-2 Modem Data Format ....................................................................................... 4-1 Table 6-1 Environmental Specifications for 5V and 3.3V Version.................................. 6-1 Table 6-2 DC Operating Characteristics 3.3V Version................................................... 6-2 Table 6-3 DC Operating Characteristics 5V Version...................................................... 6-2 Table 6-4 Switching Characteristics................................................................................ 6-3 Table 8-1 Internet Protocol Compliance ......................................................................... 8-1 Table 9-1 Terms and Acronyms ....................................................................................... 9-2 iChip CO561AD-D Datasheet Tables Tables vii ...

Page 8

... Introduction Description The CO561AD-D iChip Plus™ Internet Controller™ low-cost intelligent peripheral device that provides Internet connectivity solutions to a myriad of embedded devices. iChip Plus supports dial- up, wireless and 10BaseT Ethernet LAN Internet connectivity embedded, self-contained Internet engine, iChip Plus acts as mediator device between a host processor and an Internet communications platform ...

Page 9

... Supports several layers of status reports. Internal self-test procedures. ● ● Auto baud rate detection up to 115,200 bps. Includes hardware and software flow ● control. PLCC68 package. ● iChip CO561AD-D Datasheet iChip Plus AD0-AD15 SRAM 128 KB A0-A19 -RD -WR LANINT Rx,Tx,CTS,RTS, DTR,DSR,CD ...

Page 10

... Connect One’s iChip Plus devices are available in two operating voltages. The order number is formed by a combination of the elements below: CO561AD-D Product Code Version Dual Platform Clock 18.432 MHz Package PLCC 68 Pin Temperature Range Commercial (0º to 70ºC / 32º to 158°F) Voltage 3.3V iChip CO561AD-D Datasheet / – Ordering Information 5 2-1 ...

Page 11

... This default may be changed by using the AT+iBDRF command. 3.2.3 Operation All iChip Plus Internet and parameter operations are controlled by AT+i commands. 3.2.3.1 Transparent Mode In modem communications mode, iChip Plus defaults to Transparent mode, allowing the host to control the modem device directly. Control is implemented by issuing standard iChip CO561AD-D Datasheet Functional Description 3-1 ...

Page 12

... Remote Internet Firmware Update New firmware may be uploaded from a remote location using standard Internet protocols. iChip Plus accepts Emails with new firmware attachments, as well as firmware uploads from a remote browser through iChip Plus’s Web server. iChip CO561AD-D Datasheet Functional Description 3-2 ...

Page 13

... Full EIA-232-D hardware flow control, including Tx, Rx, CTS, RTS, DTR, and DSR lines, is supported. 3.2.6 Serial Connection to Analog Modem iChip Plus CO561AD-D supports a full-duplex, TTL-level serial communications link with the modem device. Full EIA-232-D hardware flow control, including Tx, Rx, CTS, RTS, DTR, DSR and CD lines, is supported. It does not support the RI line. ...

Page 14

... Hardware Interface iChip Plus CO561AD-D interfaces between a host CPU and a modem. 4.1 Host Interface The host interface is a serial DTE interface. Speeds of 2400, 4800, 9600, 19200, 38400, 57600 and 115200 bps are supported in the following data format: Parity Data Length (No. of Bits) ...

Page 15

... Dual Interface Rx,Tx, HOST CTS,RTS,DTR,DSR Figure 4-1 iChip Plus CO561AD-D with LAN and serial modem interface iChip CO561AD-D Datasheet CPU Core Internal FLASH 512 KB Internal SRAM 128 KB Three 16-bit Timers Local Bus Interrupt Controller CTS,RTS,DTR,DSR, Hardware Interface LAN RJ45 Controller Serial Rx,Tx, Modem 4-2 ...

Page 16

... AD2 5 AD1 6 AD0 7 A17 8 AD7 9 AD14 10 AD13 11 -RES 12 AD11 13 -UCS 14 15 -DSRH AD10 16 AD9 17 Figure 5-1 PLCC68 Package for iChip Plus CO561AD-D Version iChip CO561AD-D Datasheet TM iChip Plus CO561AD-D Pin Descriptions A13 51 A19 50 A15 49 A12 48 A14 A16 45 CLKO ALE ...

Page 17

... ALE O 41 iChip CO561AD-D Datasheet Description Address BUS: These pins supply addresses to the system one-half of a CLKO period earlier than the multiplexed address and data BUS AD15–AD0. During a BUS hold or reset condition, the address BUS HIGH-impedance state. ...

Page 18

... iChip CO561AD-D Datasheet Description BUS HIGH Enable: This pin and the least-significant address bit (AD0 or A0) indicate to the system which bytes of the data BUS (upper, lower, or both) participate in a BUS cycle. The -BHE and A0 pins are encoded as shown in the table below. ...

Page 19

... GND P 67, 55 VCC P 1 iChip CO561AD-D Datasheet Description UART Interrupt: This pin is for debugging purpose only internally connected to a pull up resistor. This pin should be left Not Connected. RESET: When -RES is LOW, iChip Plus immediately terminates its present activity and clears its internal logic ...

Page 20

... I 15 -CDH/-RCV O 60 iChip CO561AD-D Datasheet Description 26 Transmit Data Host: This pin supplies asynchronous serial transmit data to the host. Receive Data Host: This pin supplies asynchronous serial receive data from the host. When this pin is not used, connect it to VCC. ...

Page 21

... Type Pin No. -RIH/-SER O 20 -DTRH/DMSEL O 63 iChip CO561AD-D Datasheet Description In dial-up mode, this pin functions as –RIH. In LAN mode, it functions as –SER. Ring Indicator Host: This pin indicates to the host that the modem communication device detects a Ring signal. Serial Indicator Host: When LOW, indicates that iChip Plus may receive a legal character from the host ...

Page 22

... O 18 -CDM I 66 iChip CO561AD-D Datasheet Description Transmit Data Modem: This pin provides asynchronous serial transmit data to the modem from the serial port. Receive Data Modem: This pin provides asynchronous serial receive data from the modem to the asynchronous modem serial port. ...

Page 23

... Voltage at any pin with respect to ground -0.5 to VCC + 0.5 Volts Operating temperature Storage temperature Soldering temperature (max. 10 sec.) Package dissipation Table 6-1 Environmental Specifications for 5V and 3.3V Version iChip CO561AD-D Datasheet Electrical/Mechanical Specifications Rating 0°C to 70°C (32 to 158°F) -60°C to 120°C (–76 to 248°F) 220°C (428°F) 1 ...

Page 24

... HIGH-level Input for X1 2 LOW-level Output Input leakage current Power supply current 3 (Operating Mode ) Input capacitance Notes 2.4mA 2mA 18.432 MHz clock Table 6-3 DC Operating Characteristics 5V Version iChip CO561AD-D Datasheet Electrical/Mechanical Specifications Min Typical Max 3.0 3.3 3.6 2.0 VCC+0.5 VCC-0.8 VCC+0.5 -0.5 0.8 VCC-0.5 VCC 0.45 +/- 10 ...

Page 25

... X1 LOW time X1 HIGH time X1 to CLKO skew Table 6-4 Switching Characteristics (1) Fall time in 5V version is from 3. and in 3V version is from 2V to 1V. (2) Rise time in 5V version is from 1V to 3.5V and in 3V version is from 1V to 2V. iChip CO561AD-D Datasheet Electrical/Mechanical Specifications Symbol Min. Typical Fclk 18 ...

Page 26

... Local BUS Read Cycle Tclk CLKO Tavrl Address A19-A0 AD15-AD0 (Read) UCS LCS ALE ~RD ~BHE iChip CO561AD-D Datasheet Electrical/Mechanical Specifications Tdvcl Trlrh Tclrl ~BHE Figure 6-1 Local BUS Read Cycle Data Tcldx 6-4 ...

Page 27

... Local BUS Read Cycle Tclk CLKO Tavrl Address A19-A0 AD15-AD0 (Read) UCS LCS ALE ~RD ~BHE 6.2.4 Clock Waveform X2 X1 CLKO Tcklh iChip CO561AD-D Datasheet Electrical/Mechanical Specifications Tdvcl Trlrh Tclrl ~BHE Figure 6-2 Local BUS Write Cycle Tchck Tclck Tckhl Tchck Tcico Figure 6-3 Clock Waveform Data Tcldx 6-5 ...

Page 28

... Mechanical Dimensions 1.27mm / 0.050” 24.5mm / 0.965” 4 0.193” 2. 0.106” Figure 6-4 Mechanical Dimensions iChip CO561AD-D Datasheet Electrical/Mechanical Specifications 0 0.020” CO561AD - D TM iChip Plus 25.2mm / 0.995” 1. 0.070” 6-6 ...

Page 29

... Serial CPU (Host) Plus Figure 7-1 CS8900A Ethernet Controller Environment 7.2 Ethernet Controller Environment with RTL8019AS (5V only) Embedded iChip Serial CPU (Host) Plus Figure 7-2RTL8019AS Ethernet Controller Environment iChip CO561AD-D Datasheet D0-D15 CS8900A Ethernet A0-A19 Controller -RD -WR LANINT Modem Serial D0-D15 RTL8019AS ...

Page 30

... The recommended range of values for C 1 and C 2 are as follows ............................................................... 15 pF ± 20 ............................................................... 22 pF ± 20% The specific values for C1 and C2 must be determined by the designer and are dependent on the characteristics of the chosen crystal and board design. iChip CO561AD-D Datasheet X1 Crystal X2 Figure 7-3 Selecting a Crystal. ...

Page 31

... Supervisory Circuit Vcc Vcc R1 C11 4.7K 0.1UF GND PFI GND MAX708R GND C15 + 1UF/16V GND Figure 7-5 Supervisory Reset Circuit iChip CO561AD-D Datasheet τ should be greater than 10 mSec. 10K -RES 10U Figure 7-4 RC Reset Circuit RESET -RES 7 RESET 5 PFO iChip Designs 7-3 ...

Page 32

... Protocol Compliance iChip Plus CO561AD-D complies with the following Internet standards: User Datagram Protocol (UDP) RFC 768 RFC 791 Internet Protocol (IP) RFC 792 ICMP – Internet Control Message Protocol RFC 793 Transmission Control Protocol (TCP) RFC 821 Simple Mail Transfer Protocol (SMTP) ...

Page 33

... PC) users through a dial-up connection. Local Area Network. HIGH-speed, LOW-error data network covering a LAN relatively small geographic area ( few thousand meters). Link Control Protocol. Negotiates data link characteristics and tests the LCP integrity of the link. iChip CO561AD-D Datasheet List of Terms and Acronyms 9-1 ...

Page 34

... Internet. Works in conjunction with its underlying IP protocol. "Leave on An option designating whether retrieved Email messages are to be left intact on the server for subsequent downloads or are to be deleted from the server Server" after a successful download. iChip CO561AD-D Datasheet List of Terms and Acronyms Table 9-1 Terms and Acronyms 9-2 ...

Related keywords