LFXP3E-4TN100C Lattice, LFXP3E-4TN100C Datasheet - Page 250

no-image

LFXP3E-4TN100C

Manufacturer Part Number
LFXP3E-4TN100C
Description
IC FPGA 3.1KLUTS 62I/O 100-TQFP
Manufacturer
Lattice
Datasheet

Specifications of LFXP3E-4TN100C

Lead Free Status / Rohs Status
Lead free / RoHS Compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
LFXP3E-4TN100C
Manufacturer:
Lattice Semiconductor Corporation
Quantity:
10 000
Part Number:
LFXP3E-4TN100C-3I
Manufacturer:
lattice
Quantity:
2
Lattice Semiconductor
Verilog Example
module ddrin (rst, ddrclk, ddrdata, datap, datan)/*synthesis syn_useioff = 0*/;
// Inputs
input
input
input
// Outputs
output
reg [7:0] pos0/*synthesis syn_keep=1*/;
reg [7:0] pos1/*synthesis syn_keep=1*/;
reg [7:0] neg0/*synthesis syn_keep=1*/;
reg [7:0] datap, datan/*synthesis syn_keep=1*/;
//PLL signals
wire ddrclk0;
wire ddrclk90;
pll I0 (.CLK(ddrclk), .RESET(rst), .CLKOP(ddrclk0), .CLKOS(ddrclk90), .LOCK(clklock));
always @ ( posedge ddrclk90)
begin
end
always@ (negedge ddrclk90)
begin
end
always @ (posedge ddrclk90)
begin
if (rst)
begin
pos0 <= 0;
end
else
begin
end
if (rst)
begin
end
else
begin
end
if (rst)
begin
end
else
begin
end
pos0 <= ddrdata;
neg0<=0;
pos1<=0;
neg0<=ddrdata;
pos1<=pos0;
datap<= 0;
datan<= 0;
datap<= pos1;
datan<= neg0;
[7:0]
[7:0]
rst;
ddrclk;
ddrdata;
datap, datan;
10-31
LatticeECP/EC and LatticeXP
DDR Usage Guide

Related parts for LFXP3E-4TN100C