HC230F1020 Altera, HC230F1020 Datasheet - Page 139

no-image

HC230F1020

Manufacturer Part Number
HC230F1020
Description
Manufacturer
Altera
Datasheet

Specifications of HC230F1020

Lead Free Status / RoHS Status
Not Compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
HC230F1020
Manufacturer:
ALTERA
0
Part Number:
HC230F1020AJ
Manufacturer:
ALTERA
0
Part Number:
HC230F1020ANQ
Manufacturer:
Discera
Quantity:
2 000
Part Number:
HC230F1020AW
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BA
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BL
Manufacturer:
ALTERA
0
Making Global
Assignments
Altera Corporation
September 2008
Initializing a HardCopy II Design
For a HardCopy II design, the following key operations are required after
a Quartus II project is created:
In addition to these, other project settings affecting downstream tools,
such as synthesis and place-and-route, can be made at this time.
The operations listed above are performed using the
set_global_assignment command. The syntax for this command is:
tcl> set_global_assignment [-comment<comment>] \
The most important parameters for the set_global_assignment
command are <name> and <value>. The <name> argument specifies the
Quartus II global variable to be set and <value> is the new value assigned
to that variable.
One of the steps in initializing a HardCopy II design is to turn on the
Design Assistant. When run in the GUI, the Design Assistant provides a
visual checklist for running both the Stratix II and HardCopy II phases of
the design. For first-time users, this can provide a powerful guide for
successfully completing your HardCopy II project.
Specify design source files (Verilog, VHDL, AHDL, EDIF, and BDF
files)
Specify the Stratix II prototype target family and device name
Specify the HardCopy II companion revision and migration device
Enable the Design Assistant
Make recommended HardCopy II specific Quartus II tool settings
[-disable] [-entity <entity_name>] -name <name> \
[-remove] [-section_id <section_id>] <value>
Making Global Assignments
6–11

Related parts for HC230F1020