HC230F1020 Altera, HC230F1020 Datasheet - Page 173

no-image

HC230F1020

Manufacturer Part Number
HC230F1020
Description
Manufacturer
Altera
Datasheet

Specifications of HC230F1020

Lead Free Status / RoHS Status
Not Compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
HC230F1020
Manufacturer:
ALTERA
0
Part Number:
HC230F1020AJ
Manufacturer:
ALTERA
0
Part Number:
HC230F1020ANQ
Manufacturer:
Discera
Quantity:
2 000
Part Number:
HC230F1020AW
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BA
Manufacturer:
ALTERA
0
Part Number:
HC230F1020BL
Manufacturer:
ALTERA
0
Figure 7–2. TimeQuest Timing-Related Settings in the HardCopy II Advisor
Altera Corporation
September 2008
The TimeQuest timing analyzer provides a number of timing checks
during the HardCopy II design flow. The HardCopy II Advisor guides
you to launch the TimeQuest timing analyzer for these timing checks and
ensures that the design is fully constrained, as shown in
All timing paths must be fully constrained. The TimeQuest report_ucp
command (or the TimeQuest GUI Tasks pane option Report
Unconstrained Paths) generates a series of reports that detail all
unconstrained paths in your design. These reports list unconstrained
setup, hold, recovery, and removal timing paths in the design. You must
correct any design errors the report shows you by applying additional
constraints before running static timing analysis.
The TimeQuest timing analyzer supports most constraints in the SDC
format for the HardCopy series of devices. The TimeQuest timing
analyzer constraints are specified in commands from two Tcl packages in
the Quartus II software. These packages are the sdc package and the
sdc_ext package. The HardCopy II design flow requires that all timing
constraints be specified in commands from the SDC Version 1.5
HardCopy II Timing Closure Methodology
Figure
7–2.
7–9

Related parts for HC230F1020