A3PE-BRD600-SKT Actel, A3PE-BRD600-SKT Datasheet - Page 39

no-image

A3PE-BRD600-SKT

Manufacturer Part Number
A3PE-BRD600-SKT
Description
MCU, MPU & DSP Development Tools ProASIC3/E Starter Kit
Manufacturer
Actel
Datasheet

Specifications of A3PE-BRD600-SKT

Processor To Be Evaluated
ProASIC3/E
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
ProASIC3/E Starter Kit User’s Guide and Tutorial
To add HDL to your project:
1.
2.
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity count8 is
end count8;
architecture behavioral of count8 is
begin
port(Clock : in std_logic;
signal Qaux : UNSIGNED(7 downto 0);
process(Clock, Aclr)
begin
From the File menu, click New. This opens the New dialog box, as shown in
Select VHDL Entity in the File Type field, enter count8 in the Name field, and click OK. The HDL Editor opens.
Enter the following VHDL file, or if this document is open in an electronic form, copy and paste it from here.
if (Aclr = '1') then
Qaux <= (others => '0');
Q : out std_logic_vector(7 downto 0);
Updown : in std_logic;
Aclr : in std_logic;
Sload : in std_logic;
Data : in std_logic_vector(7 downto 0));
Figure 7-8. New File Dialog Box
Figure
Step 1 – Create a New Project
7-8.
39

Related parts for A3PE-BRD600-SKT