A3PE-BRD600-SKT Actel, A3PE-BRD600-SKT Datasheet - Page 61

no-image

A3PE-BRD600-SKT

Manufacturer Part Number
A3PE-BRD600-SKT
Description
MCU, MPU & DSP Development Tools ProASIC3/E Starter Kit
Manufacturer
Actel
Datasheet

Specifications of A3PE-BRD600-SKT

Processor To Be Evaluated
ProASIC3/E
Lead Free Status / RoHS Status
Lead free / RoHS Compliant
ProASIC3/E Starter Kit User’s Guide and Tutorial
To load the STAPL file:
1.
2.
The FlashPro Log window will display a message indicating that the software has successfully loaded, as shown in
Figure
Note:
Click the Open File button in the toolbar; or from the File menu, click Open STAPL file. The Open dialog box
will appear, as shown in
Browse to your Libero IDE project /designer/impl1 folder, select the STAPL file, and click Open. The FlashPro
software loads the file.
Note:
7-44.
If your board has an A3PE600 device, you will see A3PE600 in the Device list.
You can also find a copy of the Top.stp file in the /src/A3PE600 or /src/A3P250 folder.
Figure
7-43.
Figure 7-43. Open STAPL File Dialog Box
Figure 7-44. STAPL File Load Successfully
Step 8 – Program the Device
61

Related parts for A3PE-BRD600-SKT