XCV1600E-6BG560I Xilinx Inc, XCV1600E-6BG560I Datasheet - Page 58

no-image

XCV1600E-6BG560I

Manufacturer Part Number
XCV1600E-6BG560I
Description
IC FPGA 1.8V I-TEMP 560-MBGA
Manufacturer
Xilinx Inc
Series
Virtex™-Er
Datasheet

Specifications of XCV1600E-6BG560I

Number Of Logic Elements/cells
34992
Number Of Labs/clbs
7776
Total Ram Bits
589824
Number Of I /o
404
Number Of Gates
2188742
Voltage - Supply
1.71 V ~ 1.89 V
Mounting Type
Surface Mount
Operating Temperature
-40°C ~ 100°C
Package / Case
560-LBGA, Metal
Lead Free Status / RoHS Status
Contains lead / RoHS non-compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
XCV1600E-6BG560I
Manufacturer:
XILINX
Quantity:
988
Part Number:
XCV1600E-6BG560I
Manufacturer:
Xilinx Inc
Quantity:
10 000
Part Number:
XCV1600E-6BG560I
Manufacturer:
XILINX
0
Virtex™-E 1.8 V Field Programmable Gate Arrays
Table 44: Bidirectional I/O Library Macros
Revision History
The following table shows the revision history for this document.
Module 2 of 4
52
IOBUFDS_FD_LVDS
IOBUFDS_FDE_LVDS
IOBUFDS_FDC_LVDS
IOBUFDS_FDCE_LVDS
IOBUFDS_FDP_LVDS
IOBUFDS_FDPE_LVDS
IOBUFDS_FDR_LVDS
IOBUFDS_FDRE_LVDS
IOBUFDS_FDS_LVDS
IOBUFDS_FDSE_LVDS
IOBUFDS_LD_LVDS
IOBUFDS_LDE_LVDS
IOBUFDS_LDC_LVDS
IOBUFDS_LDCE_LVDS
IOBUFDS_LDP_LVDS
IOBUFDS_LDPE_LVDS
12/7/99
1/10/00
1/28/00
2/29/00
5/23/00
7/10/00
8/1/00
Date
Version
Name
1.0
1.1
1.2
1.3
1.4
1.5
1.6
Initial Xilinx release.
Re-released with spd.txt v. 1.18, FG860/900/1156 package information, and additional DLL,
Select RAM and SelectI/O information.
Added Delay Measurement Methodology table, updated SelectI/O section, Figures 30, 54,
& 55, text explaining Table 5, T
Measurement notes, notes for Tables 15, 16, and corrected F1156 pinout table footnote
references.
Updated pinout tables, V
Correction to table on p. 22.
Numerous minor edits.
Data sheet upgraded to Preliminary.
Preview -8 numbers added to Virtex-E Electrical Characteristics tables.
Reformatted entire document to follow new style guidelines.
Changed speed grade values in tables on pages 35-37.
D, T, GE, G, CLR
D, T, GE, G, PRE
D, T, CE, C, CLR
D, T, CE, C, PRE
www.xilinx.com
D, T, CE, C, R
D, T, CE, C, S
D, T, C, PRE
D, T, G, CLR
D, T, G, PRE
D, T, C, CLR
D, T, GE, G
D, T, CE, C
CC
D, T, C, R
D, T, C, S
Inputs
D, T, C
D, T, G
page 20, and corrected Figure 20.
BYP
values, buffered Hex Line info, p. 8, I/O Timing
Revision
Bidirectional
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
IO, IOB
Production Product Specification
DS022-2 (v2.8) January 16, 2006
Outputs
Q
Q
Q
Q
Q
Q
Q
Q
Q
Q
Q
Q
Q
Q
Q
Q
R

Related parts for XCV1600E-6BG560I