LCMXO2280C-5TN144C LATTICE SEMICONDUCTOR, LCMXO2280C-5TN144C Datasheet - Page 116

MACHXO PLD FLASH, SCRAM 1.8V, SMD

LCMXO2280C-5TN144C

Manufacturer Part Number
LCMXO2280C-5TN144C
Description
MACHXO PLD FLASH, SCRAM 1.8V, SMD
Manufacturer
LATTICE SEMICONDUCTOR
Series
MachXOr
Datasheet

Specifications of LCMXO2280C-5TN144C

Cpld Type
FLASH
No. Of Macrocells
1140
No. Of I/o's
113
Propagation Delay
3.6ns
Global Clock Setup Time
1.1ns
Frequency
600MHz
Supply Voltage Range
1.71V To 3.465V
Operating
RoHS Compliant

Available stocks

Company
Part Number
Manufacturer
Quantity
Price
Part Number:
LCMXO2280C-5TN144C
Manufacturer:
Lattice Semiconductor Corporation
Quantity:
10 000
Part Number:
LCMXO2280C-5TN144C
Manufacturer:
LATTICE/莱迪斯
Quantity:
20 000
Lattice Semiconductor
Appendix A. HDL Attributes for Synplify
Using these HDL attributes, you can assign the sysIO attributes directly in your source. You will need to use the
attribute definition and syntax for the synthesis vendor you are planning to you to use. Below are a list of all the
sysIO attributes syntax and examples for Precision RTL Synthesis and Synplify. This section only lists the sysIO
buffer attributes for these devices. Refer to the Precision RTL Synthesis and Synplify user manuals for a complete
list of synthesis attributes. These manuals are available through the ispLEVER or Diamond software Help.
VHDL Synplify/Precision RTL Synthesis
This section lists syntax and examples for all the sysIO attributes in VHDL when using Precision RTL Synthesis
and Synplify synthesis tools.
Syntax
Table 8-11. VHDL Attribute Syntax for Precision RTL Synthesis and Synplify
Examples
IO_TYPE
--***Attribute Declaration***
ATTRIBUTE IO_TYPE: string;
--***IO_TYPE assignment for I/O Pin***
ATTRIBUTE IO_TYPE OF portA:
ATTRIBUTE IO_TYPE OF portB:
ATTRIBUTE IO_TYPE OF portC:
OPENDRAIN
--***Attribute Declaration***
ATTRIBUTE OPENDRAIN: string;
--***OPENDRAIN assignment for I/O Pin***
ATTRIBUTE OPENDRAIN OF portB: SIGNAL IS “ON”;
IO_TYPE
OPENDRAIN
DRIVE
PULLMODE
PCICLAMP
SLEWRATE
LOC
Attribute
attribute IO_TYPE: string;
attribute IO_TYPE of Pinname: signal is “IO_TYPE Value”;
attribute OPENDRAIN: string;
attribute OPENDRAIN of Pinname: signal is “OpenDrain Value”;
attribute DRIVE: string;
attribute DRIVE of Pinname: signal is “Drive Value”;
attribute PULLMODE: string;
attribute PULLMODE of Pinname: signal is “Pullmode Value”;
attribute PCICLAMP: string;
attribute PCICLAMP of Pinname: signal is “PCIClamp Value”;
attribute PULLMODE: string;
attribute PULLMODE of Pinname: signal is “Slewrate Value”;
attribute LOC: string;
attribute LOC of Pinname: signal is “pin_locations”;
SIGNAL IS “PCI33”;
SIGNAL IS “LVCMOS33”;
SIGNAL IS “LVDS25”;
8-13
®
and Precision
Syntax
MachXO sysIO Usage Guide
®
RTL Synthesis

Related parts for LCMXO2280C-5TN144C